26
26
" ) |___/ (
27
27
" '------------------------------------------------------------------------'
28
28
29
- " *****************************************************************************
30
- " Add Header Begin
31
- " *****************************************************************************
32
- " 新建.c, .h, .sh, .jave, .scala文件, 自动插入文件头
33
- autocmd BufNewFile *.v exec " :call VTitle()"
34
- autocmd BufNewFile *.sv exec " :call SVTitle()"
35
- autocmd BufNewFile *.scala exec " :call SCTitle()"
36
- autocmd BufNewFile *.c exec " :call CTitle()"
37
- autocmd BufNewFile *.java exec " :call JAVATitle()"
38
-
39
- func VTitle ()
40
- if (&filetype == ' verilog' )
41
- call setline (1 , " \/ / -----------------------------------------------------" )
42
- call setline (2 , " \/ / Copyright 2021. All Rights Reserved." )
43
- call setline (3 , " \/ / -----------------------------------------------------" )
44
- call setline (4 , " \/ / File Name : " .expand (" %" ))
45
- call setline (5 , " \/ / Author : Wu Bingrui" )
46
- call setline (6 , " \/ / Created : " .strftime (" %c" ))
47
- call setline (7 , " \/ / -----------------------------------------------------" )
48
- endif
49
- endfunc
50
-
51
- func SVTitle ()
52
- if (&filetype == ' systemverilog' )
53
- call setline (1 , " \/ / -----------------------------------------------------" )
54
- call setline (2 , " \/ / Copyright 2021. All Rights Reserved." )
55
- call setline (3 , " \/ / -----------------------------------------------------" )
56
- call setline (4 , " \/ / File Name : " .expand (" %" ))
57
- call setline (5 , " \/ / Author : Wu Bingrui" )
58
- call setline (6 , " \/ / Created : " .strftime (" %c" ))
59
- call setline (7 , " \/ / -----------------------------------------------------" )
60
- endif
61
- endfunc
62
-
63
- func SCTitle ()
64
- if (&filetype == ' scala' )
65
- call setline (1 , " \/ / -----------------------------------------------------" )
66
- call setline (2 , " \/ / Copyright 2021. All Rights Reserved." )
67
- call setline (3 , " \/ / -----------------------------------------------------" )
68
- call setline (4 , " \/ / File Name : " .expand (" %" ))
69
- call setline (5 , " \/ / Author : Wu Bingrui" )
70
- call setline (6 , " \/ / Created : " .strftime (" %c" ))
71
- call setline (7 , " \/ / -----------------------------------------------------" )
72
- endif
73
- endfunc
74
-
75
- func CTitle ()
76
- if (&filetype == ' c' )
77
- call setline (1 , " \/ / -----------------------------------------------------" )
78
- call setline (2 , " \/ / Copyright 2021. All Rights Reserved." )
79
- call setline (3 , " \/ / -----------------------------------------------------" )
80
- call setline (4 , " \/ / File Name : " .expand (" %" ))
81
- call setline (5 , " \/ / Author : Wu Bingrui" )
82
- call setline (6 , " \/ / Created : " .strftime (" %c" ))
83
- call setline (7 , " \/ / -----------------------------------------------------" )
84
- endif
85
- endfunc
86
-
87
- func JAVATitle ()
88
- if (&filetype == ' java' )
89
- call setline (1 , " \/ / -----------------------------------------------------" )
90
- call setline (2 , " \/ / Copyright 2021. All Rights Reserved." )
91
- call setline (3 , " \/ / -----------------------------------------------------" )
92
- call setline (4 , " \/ / File Name : " .expand (" %" ))
93
- call setline (5 , " \/ / Author : Wu Bingrui" )
94
- call setline (6 , " \/ / Created : " .strftime (" %c" ))
95
- call setline (7 , " \/ / -----------------------------------------------------" )
96
- endif
97
- endfunc
98
-
99
- " *****************************************************************************
100
- " Add Header End
101
- " *****************************************************************************
102
-
103
- " """"""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
104
- " Add Comments Begin
105
- " """"""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
106
-
107
- func ! AddCommentHeader (lnum) abort
108
- let comment_header =
109
- \[
110
- \' // ****************************************************** ',
111
- \' // **** Comment **** ',
112
- \' // ****************************************************** '
113
- \]
114
- let lnum = a: lnum < 1 ? line (' .' ) : a: lnum
115
-
116
- call append (lnum, comment_header)
117
- endfunc
118
-
119
- func ! AddCommentContinuity (lnum) abort
120
- let comment_continuity =
121
- \[
122
- \' /** ',
123
- \' * ',
124
- \' * /'
125
- \]
126
- let lnum = a: lnum < 1 ? line (' .' ) : a: lnum
127
-
128
- call append (lnum, comment_continuity)
129
- endfunc
130
-
131
- nnoremap gci :<C-U> call AddCommentHeader(v:count)<CR>
132
- nnoremap gco :<C-U> call AddCommentContinuity(v:count)<CR>
133
-
134
- " 生成文件名和当前时间
135
- autocmd BufNewFile * normal G
136
-
137
- " """"""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
138
- " Add Comments End
139
- " """"""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
140
-
141
-
142
- " """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
143
- " Complete Begin
144
- " """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
145
- " set completeopt=longest, menu
146
- set completeopt = longest
147
- ab __seq always @ (posedge clk or negedge rstn) begin<Enter> if (rstn== 1 'b0) begin<Enter> /*AUTORESET*/ <Enter> end <Enter> else begin<Enter> end <Enter> end <Enter>
148
- ab __comb always @ (/*AUTOSENSE*/ ) begin<Enter> end <Enter>
149
- ab __chi import chisel3._<Enter> import chisel3.util._<Enter> import chisel3.core.DontCare<Enter> import chisel3.experimental.dontTouch
150
- ab __mod module example (/*AUTOARG*/ );<Enter> /*AUTOINPUT*/ <Enter> /*AUTOOUTPUT*/ <Enter> /*AUTOREG*/ <Enter> endmodule<Enter> // Local Variables: <Enter> // verilog- library- directories: (" ." " subdir" " subdirs" )<Enter> // End:
151
- ab __new inst x_inst (/*AUTOINST*/ );
152
- " """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
153
- " Complete End
154
- " """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
155
29
30
+ set rtp += ~/.vim/bundle/Vundle.vim
156
31
" """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
157
32
" Vundle 设置开始
158
33
" """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
159
- set rtp += ~/.vim/bundle/Vundle.vim
160
34
" vundle 管理的插件列表必须位于 vundle#begin() 和 vundle#end() 之间
161
35
call vundle#begin ()
162
36
Plugin ' VundleVim/Vundle.vim'
@@ -170,7 +44,7 @@ call vundle#begin()
170
44
Plugin ' ryanoasis/vim-devicons' " add beautiful icons besides files
171
45
Plugin ' Xuyuanp/nerdtree-git-plugin' " display git status within Nerdtree
172
46
Plugin ' tiagofumo/vim-nerdtree-syntax-highlight' " enhance devicons
173
- Plugin ' preservim/tagbar' "
47
+ " Plugin 'preservim/tagbar' "
174
48
" Plugin 'MarcWeber/vim-addon-mw-utils'
175
49
" Plugin 'tomtom/tlib_vim'
176
50
" Plugin 'garbas/vim-snipmate'
@@ -181,87 +55,6 @@ filetype plugin indent on
181
55
" Vundle 设置结束
182
56
" """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
183
57
184
- " """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
185
- " AirLine 设置开始
186
- " """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
187
- let g: airline #extensions#tabline #formatter = ' default'
188
- let g: airline #extensions#tabline #left_sep = ' '
189
- let g: airline #extensions#tabline #left_alt_sep = ' |'
190
- let g: airline #extensions#tabline #enabled = 1
191
- let g: airline_theme= ' molokai'
192
- " 映射切换buffer的键位
193
- nnoremap <C-Tab> :bp<CR>
194
- nnoremap <C-s-Tab> :bn<CR>
195
- set guifont = DroidSansMono\ Nerd\ Font\ Mono\ Italic\ 12
196
- " """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
197
- " AirLine 设置结束
198
- " """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
199
-
200
- " """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
201
- " NerdTree 设置开始
202
- " """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
203
- " <Nerdtree>-------------------{
204
- " >> Basic settings
205
- let g: NERDTreeChDirMode = 2 " Change current folder as root
206
- autocmd BufEnter * if (winnr (" $" ) == 1 && exists (" b:NERDTree" ) && b: NERDTree .isTabTree ()) |cd % :p :h |endif
207
-
208
- " >> UI settings
209
- let NERDTreeQuitOnOpen= 1 " Close NERDtree when files was opened
210
- let NERDTreeMinimalUI= 1 " Start NERDTree in minimal UI mode (No help lines)
211
- let NERDTreeDirArrows= 1 " Display arrows instead of ascii art in NERDTree
212
- let NERDTreeChDirMode= 2 " Change current working directory based on root directory in NERDTree
213
- let g: NERDTreeHidden= 1 " Don't show hidden files
214
- let NERDTreeWinSize= 30 " Initial NERDTree width
215
- let NERDTreeAutoDeleteBuffer = 1 " Auto delete buffer deleted with NerdTree
216
- " let NERDTreeShowBookmarks=0 " Show NERDTree bookmarks
217
- let NERDTreeIgnore = [' \.pyc$' , ' \.swp' , ' \.swo' , ' __pycache__' ] " Hide temp files in NERDTree
218
- " let g:NERDTreeShowLineNumbers=1 " Show Line Number
219
- " Open Nerdtree when there's no file opened
220
- " autocmd vimenter * if !argc()|NERDTree|endif
221
- " Or, auto-open Nerdtree
222
- " autocmd vimenter * NERDTree
223
- " Close NERDTree when there's no other windows
224
- autocmd bufenter * if (winnr (" $" ) == 1 && exists (" b:NERDTree" ) && b: NERDTree .isTabTree ()) | q | endif
225
- " Customize icons on Nerdtree
226
- let g: NERDTreeDirArrowExpandable = ' ▸'
227
- let g: NERDTreeDirArrowCollapsible = ' ▾'
228
-
229
- " >> NERDTREE-GIT
230
- " Special characters
231
- let g: NERDTreeGitStatusIndicatorMapCustom = {
232
- \ " Modified" : " ✹" ,
233
- \ " Staged" : " ✚" ,
234
- \ " Untracked" : " ✭" ,
235
- \ " Renamed" : " ➜" ,
236
- \ " Unmerged" : " ═" ,
237
- \ " Deleted" : " ✖" ,
238
- \ " Dirty" : " ✗" ,
239
- \ " Clean" : " ✔︎" ,
240
- \ ' Ignored' : ' ☒' ,
241
- \ " Unknown" : " ?"
242
- \ }
243
-
244
- " >> NERDTree-Tabs
245
- " let g:nerdtree_tabs_open_on_console_startup=1 "Auto-open Nerdtree-tabs on VIM enter
246
- " >> Nerdtree-devicons
247
- " set guifont=DroidSansMono_Nerd_Font:h11
248
- " >> Nerdtree-syntax-highlighting
249
- " let g:NERDTreeDisableFileExtensionHighlight = 1
250
- " let g:NERDTreeDisableExactMatchHighlight = 1
251
- " let g:NERDTreeDisablePatternMatchHighlight = 1
252
- " let g:NERDTreeFileExtensionHighlightFullName = 1
253
- " let g:NERDTreeExactMatchHighlightFullName = 1
254
- " let g:NERDTreePatternMatchHighlightFullName = 1
255
- " let g:NERDTreeHighlightFolders = 1 " enables folder icon highlighting using exact match
256
- " let g:NERDTreeHighlightFoldersFullName = 1 " highlights the folder name
257
- " let g:NERDTreeExtensionHighlightColor = {} " this line is needed to avoid error
258
- " }
259
- " 映射开启NERDTree
260
- map <F5> :NERDTreeMirror<CR>
261
- map <F5> :NERDTreeToggle<CR>
262
- " """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
263
- " NerdTree 设置结束
264
- " """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
265
58
266
59
" """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
267
60
" EMACS verilog-mode Begin
@@ -283,7 +76,7 @@ endfunction
283
76
" """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
284
77
" TAGBAR verilog-mode Begin
285
78
" """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
286
- nmap <F4> :TagbarToggle<CR>
79
+ " nmap <F4> :TagbarToggle<CR>
287
80
" """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
288
81
" TAGBAR verilog-mode End
289
82
" """""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
0 commit comments