Skip to content

Commit f715c8e

Browse files
author
245950258
committed
21:33
1 parent a6952b1 commit f715c8e

File tree

7 files changed

+149
-238
lines changed

7 files changed

+149
-238
lines changed

.netrwhist

+11
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,11 @@
1+
let g:netrw_dirhistmax =10
2+
let g:netrw_dirhist_cnt =9
3+
let g:netrw_dirhist_1='/home/wbr/prj/date/20200509/vcs-rs-ldpc/wave/verdiLog'
4+
let g:netrw_dirhist_2='/home/wbr/riscv/zodiac/zodiac/src/main/scala/consts'
5+
let g:netrw_dirhist_3='/home/wbr/riscv/boom-template/boom/src/main/scala/exu/register-read'
6+
let g:netrw_dirhist_4='/home/wbr/riscv/boom-template/boom/src/main/scala'
7+
let g:netrw_dirhist_5='/home/wbr/riscv/zodiac/zodiac/src/main/scala/subsystem'
8+
let g:netrw_dirhist_6='/home/wbr/riscv/zodiac/zodiac/src/main/scala'
9+
let g:netrw_dirhist_7='/home/wbr/riscv/wbr-boom/rocket-chip/src/main'
10+
let g:netrw_dirhist_8='/home/wbr/back'
11+
let g:netrw_dirhist_9='/home/wbr/.vim/plugin'

.vimrc

+3-210
Original file line numberDiff line numberDiff line change
@@ -26,137 +26,11 @@
2626
" ) |___/ (
2727
"'------------------------------------------------------------------------'
2828

29-
"*****************************************************************************
30-
" Add Header Begin
31-
"*****************************************************************************
32-
"新建.c, .h, .sh, .jave, .scala文件, 自动插入文件头
33-
autocmd BufNewFile *.v exec ":call VTitle()"
34-
autocmd BufNewFile *.sv exec ":call SVTitle()"
35-
autocmd BufNewFile *.scala exec ":call SCTitle()"
36-
autocmd BufNewFile *.c exec ":call CTitle()"
37-
autocmd BufNewFile *.java exec ":call JAVATitle()"
38-
39-
func VTitle()
40-
if (&filetype == 'verilog')
41-
call setline(1, "\// -----------------------------------------------------")
42-
call setline(2, "\// Copyright 2021. All Rights Reserved.")
43-
call setline(3, "\// -----------------------------------------------------")
44-
call setline(4, "\// File Name : ".expand("%"))
45-
call setline(5, "\// Author : Wu Bingrui")
46-
call setline(6, "\// Created : ".strftime("%c"))
47-
call setline(7, "\// -----------------------------------------------------")
48-
endif
49-
endfunc
50-
51-
func SVTitle()
52-
if (&filetype == 'systemverilog')
53-
call setline(1, "\// -----------------------------------------------------")
54-
call setline(2, "\// Copyright 2021. All Rights Reserved.")
55-
call setline(3, "\// -----------------------------------------------------")
56-
call setline(4, "\// File Name : ".expand("%"))
57-
call setline(5, "\// Author : Wu Bingrui")
58-
call setline(6, "\// Created : ".strftime("%c"))
59-
call setline(7, "\// -----------------------------------------------------")
60-
endif
61-
endfunc
62-
63-
func SCTitle()
64-
if (&filetype == 'scala')
65-
call setline(1, "\// -----------------------------------------------------")
66-
call setline(2, "\// Copyright 2021. All Rights Reserved.")
67-
call setline(3, "\// -----------------------------------------------------")
68-
call setline(4, "\// File Name : ".expand("%"))
69-
call setline(5, "\// Author : Wu Bingrui")
70-
call setline(6, "\// Created : ".strftime("%c"))
71-
call setline(7, "\// -----------------------------------------------------")
72-
endif
73-
endfunc
74-
75-
func CTitle()
76-
if (&filetype == 'c')
77-
call setline(1, "\// -----------------------------------------------------")
78-
call setline(2, "\// Copyright 2021. All Rights Reserved.")
79-
call setline(3, "\// -----------------------------------------------------")
80-
call setline(4, "\// File Name : ".expand("%"))
81-
call setline(5, "\// Author : Wu Bingrui")
82-
call setline(6, "\// Created : ".strftime("%c"))
83-
call setline(7, "\// -----------------------------------------------------")
84-
endif
85-
endfunc
86-
87-
func JAVATitle()
88-
if (&filetype == 'java')
89-
call setline(1, "\// -----------------------------------------------------")
90-
call setline(2, "\// Copyright 2021. All Rights Reserved.")
91-
call setline(3, "\// -----------------------------------------------------")
92-
call setline(4, "\// File Name : ".expand("%"))
93-
call setline(5, "\// Author : Wu Bingrui")
94-
call setline(6, "\// Created : ".strftime("%c"))
95-
call setline(7, "\// -----------------------------------------------------")
96-
endif
97-
endfunc
98-
99-
"*****************************************************************************
100-
" Add Header End
101-
"*****************************************************************************
102-
103-
"""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
104-
" Add Comments Begin
105-
"""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
106-
107-
func! AddCommentHeader(lnum) abort
108-
let comment_header =
109-
\[
110-
\' //******************************************************',
111-
\' //**** Comment ****',
112-
\' //******************************************************'
113-
\]
114-
let lnum = a:lnum < 1 ? line('.') : a:lnum
115-
116-
call append(lnum, comment_header)
117-
endfunc
118-
119-
func! AddCommentContinuity(lnum) abort
120-
let comment_continuity =
121-
\[
122-
\'/**',
123-
\' * ',
124-
\' */'
125-
\]
126-
let lnum = a:lnum < 1 ? line('.') : a:lnum
127-
128-
call append(lnum, comment_continuity)
129-
endfunc
130-
131-
nnoremap gci :<C-U>call AddCommentHeader(v:count)<CR>
132-
nnoremap gco :<C-U>call AddCommentContinuity(v:count)<CR>
133-
134-
" 生成文件名和当前时间
135-
autocmd BufNewFile * normal G
136-
137-
"""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
138-
" Add Comments End
139-
"""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
140-
141-
142-
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
143-
" Complete Begin
144-
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
145-
"set completeopt=longest, menu
146-
set completeopt=longest
147-
ab __seq always @(posedge clk or negedge rstn) begin<Enter>if(rstn==1'b0) begin<Enter>/*AUTORESET*/<Enter>end<Enter>else begin<Enter>end<Enter>end<Enter>
148-
ab __comb always @(/*AUTOSENSE*/) begin<Enter>end<Enter>
149-
ab __chi import chisel3._<Enter>import chisel3.util._<Enter>import chisel3.core.DontCare<Enter>import chisel3.experimental.dontTouch
150-
ab __mod module example (/*AUTOARG*/);<Enter>/*AUTOINPUT*/<Enter>/*AUTOOUTPUT*/<Enter>/*AUTOREG*/<Enter>endmodule<Enter>// Local Variables:<Enter>// verilog-library-directories:("." "subdir" "subdirs")<Enter>// End:
151-
ab __new inst x_inst(/*AUTOINST*/);
152-
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
153-
" Complete End
154-
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
15529

30+
set rtp+=~/.vim/bundle/Vundle.vim
15631
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
15732
" Vundle 设置开始
15833
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
159-
set rtp+=~/.vim/bundle/Vundle.vim
16034
" vundle 管理的插件列表必须位于 vundle#begin() 和 vundle#end() 之间
16135
call vundle#begin()
16236
Plugin 'VundleVim/Vundle.vim'
@@ -170,7 +44,7 @@ call vundle#begin()
17044
Plugin 'ryanoasis/vim-devicons' " add beautiful icons besides files
17145
Plugin 'Xuyuanp/nerdtree-git-plugin' " display git status within Nerdtree
17246
Plugin 'tiagofumo/vim-nerdtree-syntax-highlight' " enhance devicons
173-
Plugin 'preservim/tagbar' "
47+
"Plugin 'preservim/tagbar' "
17448
"Plugin 'MarcWeber/vim-addon-mw-utils'
17549
"Plugin 'tomtom/tlib_vim'
17650
"Plugin 'garbas/vim-snipmate'
@@ -181,87 +55,6 @@ filetype plugin indent on
18155
" Vundle 设置结束
18256
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
18357

184-
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
185-
" AirLine 设置开始
186-
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
187-
let g:airline#extensions#tabline#formatter = 'default'
188-
let g:airline#extensions#tabline#left_sep = ' '
189-
let g:airline#extensions#tabline#left_alt_sep = '|'
190-
let g:airline#extensions#tabline#enabled = 1
191-
let g:airline_theme='molokai'
192-
" 映射切换buffer的键位
193-
nnoremap <C-Tab> :bp<CR>
194-
nnoremap <C-s-Tab> :bn<CR>
195-
set guifont=DroidSansMono\ Nerd\ Font\ Mono\ Italic\ 12
196-
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
197-
" AirLine 设置结束
198-
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
199-
200-
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
201-
" NerdTree 设置开始
202-
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
203-
" <Nerdtree>-------------------{
204-
">> Basic settings
205-
let g:NERDTreeChDirMode = 2 "Change current folder as root
206-
autocmd BufEnter * if (winnr("$") == 1 && exists("b:NERDTree") && b:NERDTree.isTabTree()) |cd %:p:h |endif
207-
208-
">> UI settings
209-
let NERDTreeQuitOnOpen=1 " Close NERDtree when files was opened
210-
let NERDTreeMinimalUI=1 " Start NERDTree in minimal UI mode (No help lines)
211-
let NERDTreeDirArrows=1 " Display arrows instead of ascii art in NERDTree
212-
let NERDTreeChDirMode=2 " Change current working directory based on root directory in NERDTree
213-
let g:NERDTreeHidden=1 " Don't show hidden files
214-
let NERDTreeWinSize=30 " Initial NERDTree width
215-
let NERDTreeAutoDeleteBuffer = 1 " Auto delete buffer deleted with NerdTree
216-
"let NERDTreeShowBookmarks=0 " Show NERDTree bookmarks
217-
let NERDTreeIgnore = ['\.pyc$', '\.swp', '\.swo', '__pycache__'] " Hide temp files in NERDTree
218-
"let g:NERDTreeShowLineNumbers=1 " Show Line Number
219-
" Open Nerdtree when there's no file opened
220-
"autocmd vimenter * if !argc()|NERDTree|endif
221-
" Or, auto-open Nerdtree
222-
"autocmd vimenter * NERDTree
223-
" Close NERDTree when there's no other windows
224-
autocmd bufenter * if (winnr("$") == 1 && exists("b:NERDTree") && b:NERDTree.isTabTree()) | q | endif
225-
" Customize icons on Nerdtree
226-
let g:NERDTreeDirArrowExpandable = ''
227-
let g:NERDTreeDirArrowCollapsible = ''
228-
229-
">> NERDTREE-GIT
230-
" Special characters
231-
let g:NERDTreeGitStatusIndicatorMapCustom = {
232-
\ "Modified" : "",
233-
\ "Staged" : "",
234-
\ "Untracked" : "",
235-
\ "Renamed" : "",
236-
\ "Unmerged" : "",
237-
\ "Deleted" : "",
238-
\ "Dirty" : "",
239-
\ "Clean" : "✔︎",
240-
\ 'Ignored' : '',
241-
\ "Unknown" : "?"
242-
\ }
243-
244-
">> NERDTree-Tabs
245-
"let g:nerdtree_tabs_open_on_console_startup=1 "Auto-open Nerdtree-tabs on VIM enter
246-
">> Nerdtree-devicons
247-
"set guifont=DroidSansMono_Nerd_Font:h11
248-
">> Nerdtree-syntax-highlighting
249-
"let g:NERDTreeDisableFileExtensionHighlight = 1
250-
"let g:NERDTreeDisableExactMatchHighlight = 1
251-
"let g:NERDTreeDisablePatternMatchHighlight = 1
252-
"let g:NERDTreeFileExtensionHighlightFullName = 1
253-
"let g:NERDTreeExactMatchHighlightFullName = 1
254-
"let g:NERDTreePatternMatchHighlightFullName = 1
255-
"let g:NERDTreeHighlightFolders = 1 " enables folder icon highlighting using exact match
256-
"let g:NERDTreeHighlightFoldersFullName = 1 " highlights the folder name
257-
"let g:NERDTreeExtensionHighlightColor = {} " this line is needed to avoid error
258-
" }
259-
" 映射开启NERDTree
260-
map <F5> :NERDTreeMirror<CR>
261-
map <F5> :NERDTreeToggle<CR>
262-
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
263-
" NerdTree 设置结束
264-
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
26558

26659
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
26760
" EMACS verilog-mode Begin
@@ -283,7 +76,7 @@ endfunction
28376
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
28477
" TAGBAR verilog-mode Begin
28578
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
286-
nmap <F4> :TagbarToggle<CR>
79+
"nmap <F4> :TagbarToggle<CR>
28780
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
28881
" TAGBAR verilog-mode End
28982
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""

plugin/emacs.vim

+16
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,16 @@
1+
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
2+
" EMACS verilog-mode Begin
3+
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
4+
map ta :call EmacsBatchAuto()<CR>
5+
map tb :call EmacsBatchDeleteAuto()<CR>
6+
7+
function EmacsBatchAuto()
8+
!emacs --batch % -f verilog-batch-auto -q -script ~/.emacs
9+
endfunction
10+
11+
function EmacsBatchDeleteAuto()
12+
!emacs --batch % -f verilog-batch-delete-auto -q -script ~/.emacs
13+
endfunction
14+
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
15+
" EMACS verilog-mode End
16+
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""

plugin/function.vim

+52
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,52 @@
1+
"""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
2+
" Add Comments Begin
3+
"""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
4+
5+
func! AddCommentHeader(lnum) abort
6+
let comment_header =
7+
\[
8+
\' //******************************************************',
9+
\' //**** Comment ****',
10+
\' //******************************************************'
11+
\]
12+
let lnum = a:lnum < 1 ? line('.') : a:lnum
13+
14+
call append(lnum, comment_header)
15+
endfunc
16+
17+
func! AddCommentContinuity(lnum) abort
18+
let comment_continuity =
19+
\[
20+
\'/**',
21+
\' * ',
22+
\' */'
23+
\]
24+
let lnum = a:lnum < 1 ? line('.') : a:lnum
25+
26+
call append(lnum, comment_continuity)
27+
endfunc
28+
29+
nnoremap gci :<C-U>call AddCommentHeader(v:count)<CR>
30+
nnoremap gco :<C-U>call AddCommentContinuity(v:count)<CR>
31+
32+
" 生成文件名和当前时间
33+
autocmd BufNewFile * normal G
34+
35+
"""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
36+
" Add Comments End
37+
"""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
38+
39+
40+
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
41+
" Complete Begin
42+
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
43+
"set completeopt=longest, menu
44+
set completeopt=longest
45+
ab __seq always @(posedge clk or negedge rstn) begin<Enter>if(rstn==1'b0) begin<Enter>/*AUTORESET*/<Enter>end<Enter>else begin<Enter>end<Enter>end<Enter>
46+
ab __comb always @(/*AUTOSENSE*/) begin<Enter>end<Enter>
47+
ab __chi import chisel3._<Enter>import chisel3.util._<Enter>import chisel3.core.DontCare<Enter>import chisel3.experimental.dontTouch
48+
ab __mod module example (/*AUTOARG*/);<Enter>/*AUTOINPUT*/<Enter>/*AUTOOUTPUT*/<Enter>/*AUTOREG*/<Enter>endmodule<Enter>// Local Variables:<Enter>// verilog-library-directories:("." "subdir" "subdirs")<Enter>// End:
49+
ab __new inst x_inst(/*AUTOINST*/);
50+
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
51+
" Complete End
52+
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""

plugin/nerdtree.vim

+65
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,65 @@
1+
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
2+
" NerdTree 设置开始
3+
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
4+
" <Nerdtree>-------------------{
5+
">> Basic settings
6+
let g:NERDTreeChDirMode = 2 "Change current folder as root
7+
autocmd BufEnter * if (winnr("$") == 1 && exists("b:NERDTree") && b:NERDTree.isTabTree()) |cd %:p:h |endif
8+
9+
">> UI settings
10+
let NERDTreeQuitOnOpen=1 " Close NERDtree when files was opened
11+
let NERDTreeMinimalUI=1 " Start NERDTree in minimal UI mode (No help lines)
12+
let NERDTreeDirArrows=1 " Display arrows instead of ascii art in NERDTree
13+
let NERDTreeChDirMode=2 " Change current working directory based on root directory in NERDTree
14+
let g:NERDTreeHidden=1 " Don't show hidden files
15+
let NERDTreeWinSize=30 " Initial NERDTree width
16+
let NERDTreeAutoDeleteBuffer = 1 " Auto delete buffer deleted with NerdTree
17+
"let NERDTreeShowBookmarks=0 " Show NERDTree bookmarks
18+
let NERDTreeIgnore = ['\.pyc$', '\.swp', '\.swo', '__pycache__'] " Hide temp files in NERDTree
19+
"let g:NERDTreeShowLineNumbers=1 " Show Line Number
20+
" Open Nerdtree when there's no file opened
21+
"autocmd vimenter * if !argc()|NERDTree|endif
22+
" Or, auto-open Nerdtree
23+
"autocmd vimenter * NERDTree
24+
" Close NERDTree when there's no other windows
25+
autocmd bufenter * if (winnr("$") == 1 && exists("b:NERDTree") && b:NERDTree.isTabTree()) | q | endif
26+
" Customize icons on Nerdtree
27+
let g:NERDTreeDirArrowExpandable = ''
28+
let g:NERDTreeDirArrowCollapsible = ''
29+
30+
">> NERDTREE-GIT
31+
" Special characters
32+
let g:NERDTreeGitStatusIndicatorMapCustom = {
33+
\ "Modified" : "",
34+
\ "Staged" : "",
35+
\ "Untracked" : "",
36+
\ "Renamed" : "",
37+
\ "Unmerged" : "",
38+
\ "Deleted" : "",
39+
\ "Dirty" : "",
40+
\ "Clean" : "✔︎",
41+
\ 'Ignored' : '',
42+
\ "Unknown" : "?"
43+
\ }
44+
45+
">> NERDTree-Tabs
46+
"let g:nerdtree_tabs_open_on_console_startup=1 "Auto-open Nerdtree-tabs on VIM enter
47+
">> Nerdtree-devicons
48+
"set guifont=DroidSansMono_Nerd_Font:h11
49+
">> Nerdtree-syntax-highlighting
50+
"let g:NERDTreeDisableFileExtensionHighlight = 1
51+
"let g:NERDTreeDisableExactMatchHighlight = 1
52+
"let g:NERDTreeDisablePatternMatchHighlight = 1
53+
"let g:NERDTreeFileExtensionHighlightFullName = 1
54+
"let g:NERDTreeExactMatchHighlightFullName = 1
55+
"let g:NERDTreePatternMatchHighlightFullName = 1
56+
"let g:NERDTreeHighlightFolders = 1 " enables folder icon highlighting using exact match
57+
"let g:NERDTreeHighlightFoldersFullName = 1 " highlights the folder name
58+
"let g:NERDTreeExtensionHighlightColor = {} " this line is needed to avoid error
59+
" }
60+
" 映射开启NERDTree
61+
map <F5> :NERDTreeMirror<CR>
62+
map <F5> :NERDTreeToggle<CR>
63+
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""
64+
" NerdTree 设置结束
65+
""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""

0 commit comments

Comments
 (0)