forked from VLSI-EDA/PoC
-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy pathGPIO.LED.xdc
31 lines (31 loc) · 1.72 KB
/
GPIO.LED.xdc
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
## =============================================================================================================================================================
## General Purpose I/O
## =============================================================================================================================================================
##
## LEDs
## -----------------------------------------------------------------------------
## Bank: 17, 18, 33
## VCCO: 2.5, 2.5, 1.5V (VADJ_FPGA, VADJ_FPGA, VCC1V5_FPGA)
## Location: DS1, DS2, DS3, DS4, DS10, DS25, DS26, DS27
## -----------------------------------------------------------------------------
## {OUT} DS4; Bank 33; VCCO=VCC1V5_FPGA
set_property PACKAGE_PIN AB8 [get_ports KC705_GPIO_LED[0]]
## {OUT} DS1; Bank 33; VCCO=VCC1V5_FPGA
set_property PACKAGE_PIN AA8 [get_ports KC705_GPIO_LED[1]]
## {OUT} DS10; Bank 33; VCCO=VCC1V5_FPGA
set_property PACKAGE_PIN AC9 [get_ports KC705_GPIO_LED[2]]
## {OUT} DS2; Bank 33; VCCO=VCC1V5_FPGA
set_property PACKAGE_PIN AB9 [get_ports KC705_GPIO_LED[3]]
## {OUT} DS3; Bank 13; VCCO=VADJ_FPGA
set_property PACKAGE_PIN AE26 [get_ports KC705_GPIO_LED[4]]
## {OUT} DS25; Bank 17; VCCO=VADJ_FPGA
set_property PACKAGE_PIN G19 [get_ports KC705_GPIO_LED[5]]
## {OUT} DS26; Bank 17; VCCO=VADJ_FPGA
set_property PACKAGE_PIN E18 [get_ports KC705_GPIO_LED[6]]
## {OUT} DS27; Bank 18; VCCO=VADJ_FPGA
set_property PACKAGE_PIN F16 [get_ports KC705_GPIO_LED[7]]
# set I/O standard
set_property IOSTANDARD LVCMOS15 [get_ports -regexp {KC705_GPIO_LED\[[0-3]]}]
set_property IOSTANDARD LVCMOS25 [get_ports -regexp {KC705_GPIO_LED\[[4-7]]}]
# Ignore timings on async I/O pins
set_false_path -to [get_ports -regexp {KC705_GPIO_LED\[\d\]}]