diff --git a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/README.md b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/README.md index 26c2ef08a9..b253a7e6b3 100755 --- a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/README.md +++ b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/README.md @@ -9,7 +9,7 @@ # Accelerating Video Convolution Filtering Application -***Version: Vitis 2022.2*** +***Version: Vitis 2023.1*** This tutorial introduces you to a compute-intensive application that is accelerated using the Xilinx Alveo Data Center accelerator card. It goes through the design of a specific kernel that runs on the FPGA and briefly discusses optimization of the host-side application for performance. The kernel is designed to maximize throughput, and the host application is optimized to transfer data in an effective manner that moves in-between the host and FPGA card. The host application essentially eliminates the data movement latency by overlapping data transfers for multiple kernel calls. Another essential purpose of this tutorial is to show **_how one can easily estimate the performance of hardware kernels that can be built using Vitis HLS and how accurate and close these estimates are to actual hardware performance_** diff --git a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/images/vitisHLSCosimReport.jpg b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/images/vitisHLSCosimReport.jpg index 2f3352e6ee..b46691e3d4 100755 Binary files a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/images/vitisHLSCosimReport.jpg and b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/images/vitisHLSCosimReport.jpg differ diff --git a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/images/vitisHLSIIReport.jpg b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/images/vitisHLSIIReport.jpg index d196a2d94a..45b3825486 100755 Binary files a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/images/vitisHLSIIReport.jpg and b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/images/vitisHLSIIReport.jpg differ diff --git a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/images/vitisHlsResourceReport2.jpg b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/images/vitisHlsResourceReport2.jpg index e334b5cd84..ea6b0830fb 100755 Binary files a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/images/vitisHlsResourceReport2.jpg and b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/images/vitisHlsResourceReport2.jpg differ diff --git a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/lab3_build_app_kernel.md b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/lab3_build_app_kernel.md index a9d8500382..5d84028f50 100755 --- a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/lab3_build_app_kernel.md +++ b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/lab3_build_app_kernel.md @@ -297,6 +297,8 @@ The trace information generated during the application run can be controlled by vitis_analyzer ./build/fpgabinary.xclbin.run_summary ``` +>**NOTE:** In the 2023.1 release this command opens the Analysis view of the new Vitis Unified IDE and loads the run summary as described in [Working with the Analysis View](https://docs.xilinx.com/r/en-US/ug1393-vitis-application-acceleration/Working-with-the-Analysis-View). You can navigate to the various reports using the left pane of the Analysis view or by clicking on the links provided in the summary report. +> 2. After the Vitis Analyzer tool opens, select **Profile Summary** from the left-side menu, and then select **Compute Unit Utilization** from the window displayed on the right-hand side. The report will display stats about the measured performance of the compute units. You have built the `.xclbin` with three compute units, so the display will appear as shown below: diff --git a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/cmdlineparser.cpp b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/cmdlineparser.cpp index b094b4b970..81cebad7ad 100755 --- a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/cmdlineparser.cpp +++ b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/cmdlineparser.cpp @@ -1,17 +1,6 @@ /* -* Copyright 2021 Xilinx, Inc. -* -* Licensed under the Apache License, Version 2.0 (the "License"); -* you may not use this file except in compliance with the License. -* You may obtain a copy of the License at -* -* http://www.apache.org/licenses/LICENSE-2.0 -* -* Unless required by applicable law or agreed to in writing, software -* distributed under the License is distributed on an "AS IS" BASIS, -* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -* See the License for the specific language governing permissions and -* limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 */ #include diff --git a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/cmdlineparser.h b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/cmdlineparser.h index 2ad113d42b..9c6db54e2b 100755 --- a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/cmdlineparser.h +++ b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/cmdlineparser.h @@ -1,17 +1,6 @@ /* -* Copyright 2021 Xilinx, Inc. -* -* Licensed under the Apache License, Version 2.0 (the "License"); -* you may not use this file except in compliance with the License. -* You may obtain a copy of the License at -* -* http://www.apache.org/licenses/LICENSE-2.0 -* -* Unless required by applicable law or agreed to in writing, software -* distributed under the License is distributed on an "AS IS" BASIS, -* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -* See the License for the specific language governing permissions and -* limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 */ #ifndef CMDLINEPARSER_H_ diff --git a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/coefficients.h b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/coefficients.h index 2d0948c77e..0fa3c25357 100755 --- a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/coefficients.h +++ b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/coefficients.h @@ -1,17 +1,6 @@ /* -* Copyright 2021 Xilinx, Inc. -* -* Licensed under the Apache License, Version 2.0 (the "License"); -* you may not use this file except in compliance with the License. -* You may obtain a copy of the License at -* -* http://www.apache.org/licenses/LICENSE-2.0 -* -* Unless required by applicable law or agreed to in writing, software -* distributed under the License is distributed on an "AS IS" BASIS, -* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -* See the License for the specific language governing permissions and -* limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 */ #pragma once diff --git a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/common.h b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/common.h index 2ecc018c61..57760efec7 100755 --- a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/common.h +++ b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/common.h @@ -1,18 +1,6 @@ - /* -* Copyright 2021 Xilinx, Inc. -* -* Licensed under the Apache License, Version 2.0 (the "License"); -* you may not use this file except in compliance with the License. -* You may obtain a copy of the License at -* -* http://www.apache.org/licenses/LICENSE-2.0 -* -* Unless required by applicable law or agreed to in writing, software -* distributed under the License is distributed on an "AS IS" BASIS, -* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -* See the License for the specific language governing permissions and -* limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 */ #pragma once diff --git a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/filter2d_hw.cpp b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/filter2d_hw.cpp index a6b91137dd..4795566657 100755 --- a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/filter2d_hw.cpp +++ b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/filter2d_hw.cpp @@ -1,18 +1,6 @@ - /* -* Copyright 2021 Xilinx, Inc. -* -* Licensed under the Apache License, Version 2.0 (the "License"); -* you may not use this file except in compliance with the License. -* You may obtain a copy of the License at -* -* http://www.apache.org/licenses/LICENSE-2.0 -* -* Unless required by applicable law or agreed to in writing, software -* distributed under the License is distributed on an "AS IS" BASIS, -* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -* See the License for the specific language governing permissions and -* limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 */ #include "common.h" diff --git a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/filter2d_sw.cpp b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/filter2d_sw.cpp index 6f727981a4..05ede8d3d3 100755 --- a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/filter2d_sw.cpp +++ b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/filter2d_sw.cpp @@ -1,17 +1,6 @@ /* -* Copyright 2021 Xilinx, Inc. -* -* Licensed under the Apache License, Version 2.0 (the "License"); -* you may not use this file except in compliance with the License. -* You may obtain a copy of the License at -* -* http://www.apache.org/licenses/LICENSE-2.0 -* -* Unless required by applicable law or agreed to in writing, software -* distributed under the License is distributed on an "AS IS" BASIS, -* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -* See the License for the specific language governing permissions and -* limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 */ #include "common.h" diff --git a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/hls_testbench.cpp b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/hls_testbench.cpp index 9ed50848b7..cd811abacf 100755 --- a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/hls_testbench.cpp +++ b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/hls_testbench.cpp @@ -1,18 +1,6 @@ - /* -* Copyright 2021 Xilinx, Inc. -* -* Licensed under the Apache License, Version 2.0 (the "License"); -* you may not use this file except in compliance with the License. -* You may obtain a copy of the License at -* -* http://www.apache.org/licenses/LICENSE-2.0 -* -* Unless required by applicable law or agreed to in writing, software -* distributed under the License is distributed on an "AS IS" BASIS, -* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -* See the License for the specific language governing permissions and -* limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 */ #include diff --git a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/host.cpp b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/host.cpp index f6d133c86d..607ea95bc2 100755 --- a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/host.cpp +++ b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/host.cpp @@ -1,18 +1,6 @@ - /* -* Copyright 2021 Xilinx, Inc. -* -* Licensed under the Apache License, Version 2.0 (the "License"); -* you may not use this file except in compliance with the License. -* You may obtain a copy of the License at -* -* http://www.apache.org/licenses/LICENSE-2.0 -* -* Unless required by applicable law or agreed to in writing, software -* distributed under the License is distributed on an "AS IS" BASIS, -* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -* See the License for the specific language governing permissions and -* limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 */ #include diff --git a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/host_randomized.cpp b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/host_randomized.cpp index 431cc289d0..7734e43ec7 100755 --- a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/host_randomized.cpp +++ b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/host_randomized.cpp @@ -1,17 +1,6 @@ /* -* Copyright 2021 Xilinx, Inc. -* -* Licensed under the Apache License, Version 2.0 (the "License"); -* you may not use this file except in compliance with the License. -* You may obtain a copy of the License at -* -* http://www.apache.org/licenses/LICENSE-2.0 -* -* Unless required by applicable law or agreed to in writing, software -* distributed under the License is distributed on an "AS IS" BASIS, -* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -* See the License for the specific language governing permissions and -* limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 */ #include diff --git a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/host_sw_only.cpp b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/host_sw_only.cpp index a1f2c04af2..2471ff6568 100755 --- a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/host_sw_only.cpp +++ b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/host_sw_only.cpp @@ -1,18 +1,6 @@ - /* -* Copyright 2021 Xilinx, Inc. -* -* Licensed under the Apache License, Version 2.0 (the "License"); -* you may not use this file except in compliance with the License. -* You may obtain a copy of the License at -* -* http://www.apache.org/licenses/LICENSE-2.0 -* -* Unless required by applicable law or agreed to in writing, software -* distributed under the License is distributed on an "AS IS" BASIS, -* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -* See the License for the specific language governing permissions and -* limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 */ #include diff --git a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/xcl2.cpp b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/xcl2.cpp index b579202e13..0891ffd3fe 100755 --- a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/xcl2.cpp +++ b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/xcl2.cpp @@ -1,18 +1,6 @@ - /* -* Copyright 2021 Xilinx, Inc. -* -* Licensed under the Apache License, Version 2.0 (the "License"); -* you may not use this file except in compliance with the License. -* You may obtain a copy of the License at -* -* http://www.apache.org/licenses/LICENSE-2.0 -* -* Unless required by applicable law or agreed to in writing, software -* distributed under the License is distributed on an "AS IS" BASIS, -* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -* See the License for the specific language governing permissions and -* limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 */ #include diff --git a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/xcl2.hpp b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/xcl2.hpp index 4fb86825b6..3d535f1547 100755 --- a/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/xcl2.hpp +++ b/Hardware_Acceleration/Design_Tutorials/01-convolution-tutorial/src/xcl2.hpp @@ -1,18 +1,6 @@ - /* -* Copyright 2021 Xilinx, Inc. -* -* Licensed under the Apache License, Version 2.0 (the "License"); -* you may not use this file except in compliance with the License. -* You may obtain a copy of the License at -* -* http://www.apache.org/licenses/LICENSE-2.0 -* -* Unless required by applicable law or agreed to in writing, software -* distributed under the License is distributed on an "AS IS" BASIS, -* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -* See the License for the specific language governing permissions and -* limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 */ diff --git a/Hardware_Acceleration/Design_Tutorials/02-bloom/4_implement-kernel.md b/Hardware_Acceleration/Design_Tutorials/02-bloom/4_implement-kernel.md index ebe6a61dc2..39e095379e 100644 --- a/Hardware_Acceleration/Design_Tutorials/02-bloom/4_implement-kernel.md +++ b/Hardware_Acceleration/Design_Tutorials/02-bloom/4_implement-kernel.md @@ -125,6 +125,7 @@ Now that you have the top-level function, `runOnfpga` updated with the proper da - `#pragma HLS PIPELINE II=1` is added to initiate the burst DDR accesses and read the Bloom filter coefficients every cycle. - The expected latency is about 16,000 cycles because the `bloom_filter_size` is fixed to 16,000. You should confirm this after you run HLS Synthesis. + 2. Within the `compute_hash_flags` function, the `for` loop is rearchitected as nested for the loop to compute 4 words in parallel. ```cpp @@ -188,13 +189,17 @@ Now, build the kernel using the Vitis compiler. The Vitis compiler will call the vitis_analyzer ../build/single_buffer/kernel_4/hw_emu/runOnfpga_hw_emu.xclbin.link_summary ``` - ![missing image](./images/4_Kernel_4_link.PNG) + >**NOTE:** In the 2023.1 release this command opens the Analysis view of the new Vitis Unified IDE and loads the link summary as described in [Working with the Analysis View](https://docs.xilinx.com/r/en-US/ug1393-vitis-application-acceleration/Working-with-the-Analysis-View). You can navigate to the various reports using the left pane of the Analysis view or by clicking on the links provided in the summary report. + +Select the System Estimate report to open it. + + ![missing image](./images/4_Kernel_4_link.PNG) - The `compute_hash_flags` latency reported is 875,011 cycles. This is based on total of 35,000,000 words, computed with 4 words in parallel. This loop has 875,000 iterations and including the `MurmurHash2` latency, the total latency of 875,011 cycles is optimal. - The `compute_hash_flags_dataflow` function has `dataflow` enabled in the Pipeline column. This function is important to review and indicates that the task-level parallelism is enabled and expected to have overlap across the sub-functions in the `compute_hash_flags_dataflow` function. - The latency reported for `read_bloom_filter` function is 16,385 for reading the Bloom filter coefficients from the DDR using the `bloom_filter maxi` port. This loop is iterated over 16,000 cycles reading 32-bits data of from the Bloom filter coefficients. -3. The HLS reports confirm that the latency of the function meets your target. You still need to ensure the functionality is correct when communicating with the host. In the next section, you will walk through the initial host code and run the software and hardware emulation. +The reports confirm that the latency of the function meets your target. You still need to ensure the functionality is correct when communicating with the host. In the next section, you will walk through the initial host code and run the software and hardware emulation. ### Review the Initial Host Code @@ -233,7 +238,8 @@ The output of the accelerator is as follows: cd $LAB_WORK_DIR/makefile; make run STEP=single_buffer TARGET=hw_emu ``` - - The commands show that the SIMULATION is PASSED. This ensures that the generated hardware is functionally correct. However, you have not run the hardware on the FPGA. . + - The command should conclude with 'Verification: Pass'. This ensures that the generated hardware is functionally correct. However, you have not yet run the hardware on the FPGA. + > **NOTE**: This tutorial is provided with `xclbin` files in the `$LAB_WORK_DIR/xclbin_save` directory. The `SOLUTION=1` option can be added to the make target for using these `xclbin` files for `hw` runs. These `xclbin` files were generated for Alveo U200 cards only. You must generate new `xclbin` files for every platform used in this tutorial. diff --git a/Hardware_Acceleration/Design_Tutorials/02-bloom/README.md b/Hardware_Acceleration/Design_Tutorials/02-bloom/README.md index 42f14662c3..d6baf1275c 100644 --- a/Hardware_Acceleration/Design_Tutorials/02-bloom/README.md +++ b/Hardware_Acceleration/Design_Tutorials/02-bloom/README.md @@ -9,7 +9,7 @@ # Optimizing Accelerated FPGA Applications: Bloom Filter Example -***Version: Vitis 2022.2*** +***Version: Vitis 2023.1*** ## Introduction @@ -30,7 +30,7 @@ In general, a Bloom filter application has use cases in data analytics, such as The labs in this tutorial use: * BASH Linux shell commands. -* 2022.1 Vitis core development kit release and the *xilinx_u200_gen3x16_xdma_2_202110_1* platform. If necessary, it can be easily ported to other versions and platforms. +* 2023.1 Vitis core development kit release and the *xilinx_u200_gen3x16_xdma_2_202110_1* platform. If necessary, it can be easily ported to other versions and platforms. This tutorial guides you to run the designed accelerator on the FPGA; therefore, the expectation is that you have an Xilinx® Alveo™ U200 Data Center accelerator card set up to run this tutorial. Because it can take several (six or seven) hours to generate the multiple `xclbin` files needed to run the accelerator, pregenerated `xclbin` files are provided for the U200 card. To use these pregenerated files, when building the hardware kernel or running the accelerator on hardware, you need to add the `SOLUTION=1` argument. diff --git a/Hardware_Acceleration/Design_Tutorials/02-bloom/cpu_src/xcl2.hpp b/Hardware_Acceleration/Design_Tutorials/02-bloom/cpu_src/xcl2.hpp index 1d58378229..00028bee63 100644 --- a/Hardware_Acceleration/Design_Tutorials/02-bloom/cpu_src/xcl2.hpp +++ b/Hardware_Acceleration/Design_Tutorials/02-bloom/cpu_src/xcl2.hpp @@ -1,31 +1,7 @@ -/********** -Copyright (c) 2017, Xilinx, Inc. -All rights reserved. - -Redistribution and use in source and binary forms, with or without modification, -are permitted provided that the following conditions are met: - -1. Redistributions of source code must retain the above copyright notice, -this list of conditions and the following disclaimer. - -2. Redistributions in binary form must reproduce the above copyright notice, -this list of conditions and the following disclaimer in the documentation -and/or other materials provided with the distribution. - -3. Neither the name of the copyright holder nor the names of its contributors -may be used to endorse or promote products derived from this software -without specific prior written permission. - -THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND -ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. -IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, -PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, -OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, -EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -**********/ +/* +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #pragma once diff --git a/Hardware_Acceleration/Design_Tutorials/02-bloom/reference_files/common.h b/Hardware_Acceleration/Design_Tutorials/02-bloom/reference_files/common.h index a760d34ecf..4345386d6a 100644 --- a/Hardware_Acceleration/Design_Tutorials/02-bloom/reference_files/common.h +++ b/Hardware_Acceleration/Design_Tutorials/02-bloom/reference_files/common.h @@ -1,3 +1,8 @@ +/* +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ + #pragma once unsigned int MurmurHash2(const void* key ,int len,unsigned int seed); diff --git a/Hardware_Acceleration/Design_Tutorials/02-bloom/reference_files/xcl2.cpp b/Hardware_Acceleration/Design_Tutorials/02-bloom/reference_files/xcl2.cpp index 5f6d0cc976..384036352f 100644 --- a/Hardware_Acceleration/Design_Tutorials/02-bloom/reference_files/xcl2.cpp +++ b/Hardware_Acceleration/Design_Tutorials/02-bloom/reference_files/xcl2.cpp @@ -1,31 +1,7 @@ -/********** -Copyright (c) 2017, Xilinx, Inc. -All rights reserved. - -Redistribution and use in source and binary forms, with or without modification, -are permitted provided that the following conditions are met: - -1. Redistributions of source code must retain the above copyright notice, -this list of conditions and the following disclaimer. - -2. Redistributions in binary form must reproduce the above copyright notice, -this list of conditions and the following disclaimer in the documentation -and/or other materials provided with the distribution. - -3. Neither the name of the copyright holder nor the names of its contributors -may be used to endorse or promote products derived from this software -without specific prior written permission. - -THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND -ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. -IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, -PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, -OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, -EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -**********/ +/* +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #include #include diff --git a/Hardware_Acceleration/Design_Tutorials/02-bloom/reference_files/xcl2.hpp b/Hardware_Acceleration/Design_Tutorials/02-bloom/reference_files/xcl2.hpp index dd15a60912..a32fe4cc20 100644 --- a/Hardware_Acceleration/Design_Tutorials/02-bloom/reference_files/xcl2.hpp +++ b/Hardware_Acceleration/Design_Tutorials/02-bloom/reference_files/xcl2.hpp @@ -1,31 +1,7 @@ -/********** -Copyright (c) 2017, Xilinx, Inc. -All rights reserved. - -Redistribution and use in source and binary forms, with or without modification, -are permitted provided that the following conditions are met: - -1. Redistributions of source code must retain the above copyright notice, -this list of conditions and the following disclaimer. - -2. Redistributions in binary form must reproduce the above copyright notice, -this list of conditions and the following disclaimer in the documentation -and/or other materials provided with the distribution. - -3. Neither the name of the copyright holder nor the names of its contributors -may be used to endorse or promote products derived from this software -without specific prior written permission. - -THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND -ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. -IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, -PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, -OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, -EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -**********/ +/* +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #pragma once diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/LICENSE.txt b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/LICENSE.txt index 9785081a67..6db7466733 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/LICENSE.txt +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/LICENSE.txt @@ -1,13 +1,4 @@ - Copyright 2020 Xilinx, Inc. - - Licensed under the Apache License, Version 2.0 (the "License"); - you may not use this file except in compliance with the License. - You may obtain a copy of the License at - - http://www.apache.org/licenses/LICENSE-2.0 - - Unless required by applicable law or agreed to in writing, software - distributed under the License is distributed on an "AS IS" BASIS, - WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - See the License for the specific language governing permissions and - limitations under the License. +/* +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/README.md b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/README.md index bd2c011c9a..0c42124cd3 100755 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/README.md +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/README.md @@ -11,7 +11,7 @@ # Mixed Kernels Design Tutorial with AXI Stream and Vitis -***Version: Vitis 2022.2*** +***Version: Vitis 2023.1*** This tutorial demonstrate the design flow for an example mixed kernels hardware design, which includes both RTL kernel and HLS C kernel, as well as Vitis Vision Library. The design generates a real-time clock image, resizes it, then alpha-mix it with an input image in global memory, finally output the result image to global memory. AXI stream interface is used for the kernel-to-kernel connection. @@ -27,19 +27,6 @@ The hardware design includes three kernels: *rtc_gen*, *alpha_mix*, and *strm_du Topology -The designs have been verified with following software/hardware environment and tool chain version: -* Operating System - * Redhat/CentOS 7.4 - 7.9 - * Ubuntu 18.04/20.04 - * OpenCV libraries required -* Vitis: 2022.2 -* XRT: 2.14.354 -* Hardware and Platform (need both the deployment and development platforms) - * Alveo U200 - xilinx_u200_gen3x16_xdma_2_202110_1 - * Alveo U250 - xilinx_u250_gen3x16_xdma_4_1_202210_1 - * Alveo U50 - xilinx_u50_gen3x16_xdma_5_202210_1 - * Alveo U55C - xilinx_u55c_gen3x16_xdma_3_202210_1 - * Alveo U280 - xilinx_u280_gen3x16_xdma_1_202211_1 **Additional Requirements for RedHat/CentOS 7** The host program is using XRT Native API, which need higher version of GCC. If you are using RedHat/CentOS 7, the default installed GCC version is 4.x.x. You must use the following command to install and switch to GCC 7 before compiling the host program. @@ -234,7 +221,7 @@ Before going through the following steps, don't forget to source XRT and Vitis s ~~~ source /opt/xilinx/xrt/setup.sh -source /opt/xilinx/Vitis/2022.2/settings64.sh +source /opt/xilinx/Vitis/2023.1/settings64.sh ~~~ The two test programs need to display images. So if you are using remote server, please use VNC desktop, or ssh connection with X11 forwarding along with local X11 server. @@ -419,30 +406,6 @@ You could make modification to following *#define* section at the beginning of * Vitis provides powerful profiling features which enable you to get a deeper view into the performance, bandwidth usage, design bottleneck, etc. Please read [Profiling the Application](./doc/profile_tutorial.md) for more details. -## Revision History - -
- 2022.2 - - - Add u280 latest platform support option in Makefile and script - -
- -
- 2022.1 - - - Update Vitis target platform support - - In host program, use XRT Native API to replace original OpenCL API. - -
- -
- 2020.1 - - - Initial release - -
-

Copyright © 2020–2023 Advanced Micro Devices, Inc

diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/doc/profile_tutorial.md b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/doc/profile_tutorial.md index 31ebfe85e8..85ba5e9f8a 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/doc/profile_tutorial.md +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/doc/profile_tutorial.md @@ -25,16 +25,6 @@ Guidance includes message for reported violations, a brief suggested resolution, Hardware Run Guidance -## Platform and System Diagrams - -The Platform and System Diagrams display a representation of the platform resources and the kernel code integrated onto the platform. The System Diagram shows memory banks or PLRAMs used by the XCLBIN, how the function arguments of CUs are connected to AXI4 interfaces. It includes profile data from the run, and the resource information from the bottom table can also be displayed in a box next to each kernel or CU in the System Diagram. - -Please use upper right **Settings** button to display or hide Unused Memory, Interface Ports, Profile Info, and Resource info. - -
-Hardware System Diagram -
- ## Profile Summary Enabling profile data capturing for traffic between the kernels and host consumes additional resources and may impact performance, so we cleared those elements out of the sources as we delivered the pre-built XCLBIN files with this tutorial. diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/alpha_mix.cpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/alpha_mix.cpp index ab83470ed6..a6381483ad 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/alpha_mix.cpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/alpha_mix.cpp @@ -1,18 +1,7 @@ /* - * Copyright 2020 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #include #include diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/build_rtc_gen_xo.sh b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/build_rtc_gen_xo.sh index b3f4431228..274df80666 100755 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/build_rtc_gen_xo.sh +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/build_rtc_gen_xo.sh @@ -1,18 +1,7 @@ #!/bin/sh -# Copyright 2020 Xilinx Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 rm -rf ./rtc_gen.xo vivado -mode batch -source package_rtc_gen.tcl diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_axi.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_axi.hpp index 7f4aaf94bb..a6c8431803 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_axi.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_axi.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ //#include "opencv_core_types.h" diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_axi_io.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_axi_io.hpp index 39c9115508..82d386dfa4 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_axi_io.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_axi_io.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef ___XF__AXI_IO__ #define ___XF__AXI_IO__ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_axi_sdata.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_axi_sdata.hpp index 42425933d8..447eb18a36 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_axi_sdata.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_axi_sdata.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ /* * This file contains the definition of the data types for AXI streaming. diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_common.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_common.hpp index dd8ec1203c..9cfb84e92c 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_common.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_common.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_COMMON_H_ #define _XF_COMMON_H_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_headers.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_headers.hpp index bf446df3e6..7ac25203c6 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_headers.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_headers.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_HEADERS_H_ #define _XF_HEADERS_H_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_infra.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_infra.hpp index d803c87e6d..e5ff4b9967 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_infra.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_infra.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_INFRA_H_ #define _XF_INFRA_H_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_params.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_params.hpp index ad219387ed..d241425afe 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_params.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_params.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_PARAMS_H_ #define _XF_PARAMS_H_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_structs.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_structs.hpp index dddb93cf53..eac6dfeb9f 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_structs.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_structs.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_STRUCTS_H_ #define _XF_STRUCTS_H_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_sw_utils.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_sw_utils.hpp index 0774e45f88..a800a42929 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_sw_utils.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_sw_utils.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_SW_UTILS_H_ #define _XF_SW_UTILS_H_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_types.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_types.hpp index 43450a667a..bc9925eb2b 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_types.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_types.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_TYPES_H_ #define _XF_TYPES_H_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_utility.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_utility.hpp index 08dc617812..c7e377facb 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_utility.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_utility.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_UTILITY_H_ #define _XF_UTILITY_H_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_video_core.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_video_core.hpp index 1500af05d1..04712a55e0 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_video_core.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_video_core.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ // This code is partially derived from OpenCV: // opencv/modules/core/include/opencv2/core/core.hpp diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_video_mem.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_video_mem.hpp index 51217f87f2..455ab049ca 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_video_mem.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_video_mem.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ /* * HLS Video Memory Partition Header File diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_video_types.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_video_types.hpp index 5593894044..11da7caf25 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_video_types.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/common/xf_video_types.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ // This code is derived from OpenCV: // opencv/modules/core/include/opencv2/core/types_c.h diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_arithm.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_arithm.hpp index db4457a007..528273bc15 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_arithm.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_arithm.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_ARITHM_HPP_ #define _XF_ARITHM_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_convert_bitdepth.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_convert_bitdepth.hpp index 1b5f84dabc..d9c4872622 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_convert_bitdepth.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_convert_bitdepth.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_CONVERT_BITDEPTH_HPP_ #define _XF_CONVERT_BITDEPTH_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_magnitude.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_magnitude.hpp index 0243e103dc..268f615f5d 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_magnitude.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_magnitude.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_MAGNITUDE_HPP_ #define _XF_MAGNITUDE_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_math.h b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_math.h index e56e547ced..a79c2d3574 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_math.h +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_math.h @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _AU_MATH_H_ #define _AU_MATH_H_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_mean_stddev.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_mean_stddev.hpp index 3a2a411781..9c59c2bdae 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_mean_stddev.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_mean_stddev.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_MEAN_STDDEV_HPP_ #define _XF_MEAN_STDDEV_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_min_max_loc.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_min_max_loc.hpp index 953777820c..c9075302ce 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_min_max_loc.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_min_max_loc.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_MIN_MAX_LOC_HPP_ #define _XF_MIN_MAX_LOC_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_phase.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_phase.hpp index b9cee97fac..cb45075c37 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_phase.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/core/xf_phase.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_PHASE_HPP_ #define _XF_PHASE_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/dnn/xf_insertBorder.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/dnn/xf_insertBorder.hpp index 723dec1d80..1c6e62b6bd 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/dnn/xf_insertBorder.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/dnn/xf_insertBorder.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_INSERTBORDER_ #define _XF_INSERTBORDER_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/dnn/xf_letterbox.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/dnn/xf_letterbox.hpp index 81b7be5e1d..2c2acc7516 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/dnn/xf_letterbox.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/dnn/xf_letterbox.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_INSERTBORDER_ #define _XF_INSERTBORDER_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/dnn/xf_pre_process.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/dnn/xf_pre_process.hpp index be08a18954..e428b40ac5 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/dnn/xf_pre_process.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/dnn/xf_pre_process.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_PRE_PROCESS_ #define _XF_PRE_PROCESS_ @@ -316,4 +305,4 @@ void preProcess(hls::stream >& srcStrm, } } } -#endif \ No newline at end of file +#endif diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_fast.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_fast.hpp index a7aa1b1495..663f4741e5 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_fast.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_fast.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_FAST_HPP_ #define _XF_FAST_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_harris.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_harris.hpp index 9f849147c8..140e92fcfc 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_harris.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_harris.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_HARRIS_HPP_ #define _XF_HARRIS_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_harris_utils.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_harris_utils.hpp index ae7b336cb4..7adb23c57e 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_harris_utils.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_harris_utils.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_HARRIS_UTILS_H_ #define _XF_HARRIS_UTILS_H_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_max_suppression.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_max_suppression.hpp index 4753bd6f62..78ac271cb9 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_max_suppression.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_max_suppression.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_MAX_SUPPRESSION_HPP_ #define _XF_MAX_SUPPRESSION_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_pack_corners.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_pack_corners.hpp index 550c3c4ccf..5c318a6afe 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_pack_corners.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/features/xf_pack_corners.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_PACK_CORNERS_HPP_ #define _XF_PACK_CORNERS_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_accumulate_image.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_accumulate_image.hpp index 68762e1e4a..e0fb158006 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_accumulate_image.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_accumulate_image.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_ACCUMULATE_IMAGE_HPP_ #define _XF_ACCUMULATE_IMAGE_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_accumulate_squared.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_accumulate_squared.hpp index 41f29115af..993558f63f 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_accumulate_squared.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_accumulate_squared.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_ACCUMULATE_SQUARED_HPP_ #define _XF_ACCUMULATE_SQUARED_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_accumulate_weighted.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_accumulate_weighted.hpp index c0108d4f43..5f4223df92 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_accumulate_weighted.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_accumulate_weighted.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_ACCUMULATE_WEIGHTED_HPP_ #define _XF_ACCUMULATE_WEIGHTED_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_add_weighted.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_add_weighted.hpp index 13422facb7..b7b41fb9b6 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_add_weighted.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_add_weighted.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_ADD_WEIGHTED_HPP_ #define _XF_ADD_WEIGHTED_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_autowhitebalance.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_autowhitebalance.hpp index c4b0e3b1c1..3f925145a3 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_autowhitebalance.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_autowhitebalance.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_AWB_HPP_ #define _XF_AWB_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_averagegaussianmask.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_averagegaussianmask.hpp index 938a79795d..91f2f839e2 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_averagegaussianmask.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_averagegaussianmask.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_AVERAGEGAUSSIANMASK_HPP_ #define _XF_AVERAGEGAUSSIANMASK_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_bgr2hsv.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_bgr2hsv.hpp index e9dcd20088..6217cbc020 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_bgr2hsv.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_bgr2hsv.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_BGR2HSV_HPP_ #define _XF_BGR2HSV_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_bilateral_filter.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_bilateral_filter.hpp index ccbe12cefa..538feb9793 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_bilateral_filter.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_bilateral_filter.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef __BILATERAL_FILTER__ #define __BILATERAL_FILTER__ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_boundingbox.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_boundingbox.hpp index 4e0d95316a..7279406f62 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_boundingbox.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_boundingbox.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_BOUNDINGBOX_HPP_ #define _XF_BOUNDINGBOX_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_box_filter.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_box_filter.hpp index c32a3dcf65..fe44ab6712 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_box_filter.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_box_filter.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_BOX_FILTER_HPP_ #define _XF_BOX_FILTER_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_bpc.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_bpc.hpp index 3304f80c0b..c646999ed2 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_bpc.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_bpc.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_BPC_HPP_ #define _XF_BPC_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_canny.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_canny.hpp index c16c7c9f74..f77dc4233e 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_canny.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_canny.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_CANNY_HPP_ #define _XF_CANNY_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_canny_sobel.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_canny_sobel.hpp index 059ac82628..106e7094e6 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_canny_sobel.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_canny_sobel.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #include "common/xf_common.hpp" #include "common/xf_utility.hpp" diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_canny_utils.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_canny_utils.hpp index 59ea3b137c..b82ba826bf 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_canny_utils.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_canny_utils.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_CANNY_UTILS_HPP_ #define _XF_CANNY_UTILS_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_channel_combine.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_channel_combine.hpp index ac0ef73fa3..0271792b79 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_channel_combine.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_channel_combine.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_CHANNEL_COMBINE_HPP_ #define _XF_CHANNEL_COMBINE_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_channel_extract.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_channel_extract.hpp index 4751863462..6e8293f151 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_channel_extract.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_channel_extract.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_CHANNEL_EXTRACT_HPP_ #define _XF_CHANNEL_EXTRACT_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_colorthresholding.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_colorthresholding.hpp index 2444261f42..6fae8c97a8 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_colorthresholding.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_colorthresholding.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_COLORTHRESHOLDING_HPP_ #define _XF_COLORTHRESHOLDING_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_convertscaleabs.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_convertscaleabs.hpp index 8394432e0a..07d8f8fa2b 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_convertscaleabs.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_convertscaleabs.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_CONVERT_SCALE_ABS_HPP_ #define _XF_CONVERT_SCALE_ABS_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_corner_img_to_list.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_corner_img_to_list.hpp index 89e4c0249a..457af9f1d3 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_corner_img_to_list.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_corner_img_to_list.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef __XF_CORNER_DENSE_TO_SPARSE_HPP__ #define __XF_CORNER_DENSE_TO_SPARSE_HPP__ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_corner_update.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_corner_update.hpp index c800081f3b..f92d0d0617 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_corner_update.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_corner_update.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef __XF_CORNER_UPDATE_HPP__ #define __XF_CORNER_UPDATE_HPP__ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_crop.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_crop.hpp index 13622447fe..49a10f78e8 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_crop.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_crop.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_CROP_HPP_ #define _XF_CROP_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_custom_convolution.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_custom_convolution.hpp index fab9aeb012..40c9bf1f7f 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_custom_convolution.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_custom_convolution.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_CUSTOM_CONVOLUTION_HPP_ #define _XF_CUSTOM_CONVOLUTION_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_cvt_color.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_cvt_color.hpp index 501d928e2e..572b397de2 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_cvt_color.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_cvt_color.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_CVT_COLOR_HPP_ #define _XF_CVT_COLOR_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_cvt_color_1.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_cvt_color_1.hpp index ba0c691f57..49c8064c91 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_cvt_color_1.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_cvt_color_1.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_CVT_COLOR_1_HPP_ #define _XF_CVT_COLOR_1_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_cvt_color_utils.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_cvt_color_utils.hpp index c40bd6d3b9..7465340017 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_cvt_color_utils.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_cvt_color_utils.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_CVT_COLOR_UTILS_HPP_ #define _XF_CVT_COLOR_UTILS_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_delay.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_delay.hpp index 8231da137d..3ca899ad0e 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_delay.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_delay.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef __cplusplus #error C++ is needed to include this header diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_demosaicing.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_demosaicing.hpp index 0b10609d17..511871d1dc 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_demosaicing.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_demosaicing.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef __XF_DEMOSAICING_HPP__ #define __XF_DEMOSAICING_HPP__ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_dilation.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_dilation.hpp index fa0a8324ac..b7937c8078 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_dilation.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_dilation.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_DILATION_ #define _XF_DILATION_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_duplicateimage.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_duplicateimage.hpp index 6da58de0dc..ca26e64014 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_duplicateimage.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_duplicateimage.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_Duplicate_HPP_ #define _XF_Duplicate_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_edge_tracing.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_edge_tracing.hpp index 83aff84564..1436b9d5f5 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_edge_tracing.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_edge_tracing.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_EDGE_TRACING_HPP_ #define _XF_EDGE_TRACING_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_erosion.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_erosion.hpp index d44d0a2346..d3e3e0f92d 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_erosion.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_erosion.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_MEDIAN_BLUR_ #define _XF_MEDIAN_BLUR_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_extra_utility.h b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_extra_utility.h index 433535f312..811fa6c84e 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_extra_utility.h +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_extra_utility.h @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef __XF_EXTRA_UTILITY_H__ #define __XF_EXTRA_UTILITY_H__ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_gaincontrol.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_gaincontrol.hpp index 735658330a..3a7e595eb6 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_gaincontrol.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_gaincontrol.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_GC_HPP_ #define _XF_GC_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_gaussian_filter.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_gaussian_filter.hpp index 8f1924ef33..5ba2ccf355 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_gaussian_filter.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_gaussian_filter.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_GAUSSIAN_HPP_ #define _XF_GAUSSIAN_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hist_equalize.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hist_equalize.hpp index ed5811b302..e5f0a66bea 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hist_equalize.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hist_equalize.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_HIST_EQUALIZE_HPP_ #define _XF_HIST_EQUALIZE_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_histogram.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_histogram.hpp index 9da8d6b844..c590668d40 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_histogram.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_histogram.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_HISTOGRAM_HPP_ #define _XF_HISTOGRAM_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor.hpp index 8f92520416..8ee7866cbc 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_HOG_DESCRIPTOR_WRAPPER_HPP_ #define _XF_HOG_DESCRIPTOR_WRAPPER_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_compute_hist.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_compute_hist.hpp index 438273842a..18f6601fc9 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_compute_hist.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_compute_hist.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_HOG_DESCRIPTOR_COMPUTE_HIST_HPP_ #define _XF_HOG_DESCRIPTOR_COMPUTE_HIST_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_gradients.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_gradients.hpp index 940fb9cfb4..b5bb8a7ccf 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_gradients.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_gradients.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_HOG_DESCRIPTOR_GRADIENTS_HPP_ #define _XF_HOG_DESCRIPTOR_GRADIENTS_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_hist_norm.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_hist_norm.hpp index 869e5efcb2..faa55029a7 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_hist_norm.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_hist_norm.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_HOG_DESCRIPTOR_HIST_NORM_HPP_ #define _XF_HOG_DESCRIPTOR_HIST_NORM_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_kernel.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_kernel.hpp index 00bbadceeb..8021c07a8a 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_kernel.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_kernel.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_HOG_DESCRIPTOR_KERNEL_HPP_ #define _XF_HOG_DESCRIPTOR_KERNEL_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_norm.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_norm.hpp index ff29f1f263..7a7a42367f 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_norm.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_norm.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_HOG_DESCRIPTOR_NORM_HPP_ #define _XF_HOG_DESCRIPTOR_NORM_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_pm.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_pm.hpp index 2efc930c41..c209e485bd 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_pm.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_pm.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_HOG_DESCRIPTOR_PM_HPP_ #define _XF_HOG_DESCRIPTOR_PM_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_utility.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_utility.hpp index eb65ba5595..d494943f26 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_utility.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_hog_descriptor_utility.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_HOG_DESCRIPTOR_UTILITY_ #define _XF_HOG_DESCRIPTOR_UTILITY_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_houghlines.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_houghlines.hpp index 9591810d15..2bf74b2f63 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_houghlines.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_houghlines.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_HOUGHLINES_HPP_ #define _XF_HOUGHLINES_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_inrange.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_inrange.hpp index e5b68d1aa4..80fe18b80c 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_inrange.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_inrange.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_INRANGE_HPP_ #define _XF_INRANGE_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_integral_image.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_integral_image.hpp index 5832134719..29784897c7 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_integral_image.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_integral_image.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_INTEGRAL_IMAGE_HPP_ #define _XF_INTEGRAL_IMAGE_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_lut.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_lut.hpp index 7ef32510df..5b2f80ebb9 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_lut.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_lut.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_LUT_HPP_ #define _XF_LUT_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_magnitude.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_magnitude.hpp index dff5249eea..b713044d6b 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_magnitude.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_magnitude.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_MAGNITUDE_HPP_ #define _XF_MAGNITUDE_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_mean_shift.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_mean_shift.hpp index 6146ce530d..bf6e1f623a 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_mean_shift.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_mean_shift.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_MEAN_SHIFT_WRAPPER_HPP_ #define _XF_MEAN_SHIFT_WRAPPER_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_mean_shift_kernel.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_mean_shift_kernel.hpp index 01ac7ee3dd..164f12d802 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_mean_shift_kernel.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_mean_shift_kernel.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_MEAN_SHIFT_HPP_ #define _XF_MEAN_SHIFT_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_median_blur.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_median_blur.hpp index d16f7cc075..c8afb8d2cc 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_median_blur.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_median_blur.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_MEDIAN_BLUR_ #define _XF_MEDIAN_BLUR_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_otsuthreshold.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_otsuthreshold.hpp index 0799cf8513..5c594bfc39 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_otsuthreshold.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_otsuthreshold.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_OTSUTHRESHOLD_HPP_ #define _XF_OTSUTHRESHOLD_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_paintmask.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_paintmask.hpp index 1ec4d98a16..d8cc0bdbe6 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_paintmask.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_paintmask.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_THRESHOLD_HPP_ #define _XF_THRESHOLD_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_pyr_down.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_pyr_down.hpp index 6e48fab5d6..46156a23e3 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_pyr_down.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_pyr_down.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_PYR_DOWN_ #define _XF_PYR_DOWN_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_pyr_down_gaussian_blur.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_pyr_down_gaussian_blur.hpp index 6749db74c4..b00f08db67 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_pyr_down_gaussian_blur.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_pyr_down_gaussian_blur.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_PYR_DOWN_GAUSSIAN_DOWN_ #define _XF_PYR_DOWN_GAUSSIAN_DOWN_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_pyr_up.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_pyr_up.hpp index 7c59d2f16e..bdc1d340f4 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_pyr_up.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_pyr_up.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_PYR_UP_ #define _XF_PYR_UP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_pyr_up_gaussian_blur.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_pyr_up_gaussian_blur.hpp index 41d922560a..2ffbe91ea8 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_pyr_up_gaussian_blur.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_pyr_up_gaussian_blur.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_PYR_UP_GAUSSIAN_BLUR_ #define _XF_PYR_UP_GAUSSIAN_BLUR_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_reduce.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_reduce.hpp index be55983e8a..b2ab5bb581 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_reduce.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_reduce.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_REDUCE_HPP_ #define _XF_REDUCE_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_remap.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_remap.hpp index fd159c8066..6153864eea 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_remap.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_remap.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_REMAP_HPP_ #define _XF_REMAP_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize.hpp index f522db3d39..24809f47ed 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_RESIZE_ #define _XF_RESIZE_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize_down_area.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize_down_area.hpp index 01c903ac0c..a1f35b7ae8 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize_down_area.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize_down_area.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_RESIZE_DOWN_AREA_ #define _XF_RESIZE_DOWN_AREA_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize_headers.h b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize_headers.h index d624b58b1d..1a43db608b 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize_headers.h +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize_headers.h @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_RESIZE_HEADERS_ #define _XF_RESIZE_HEADERS_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize_nn_bilinear.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize_nn_bilinear.hpp index 44db545297..fa596b0785 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize_nn_bilinear.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize_nn_bilinear.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_RESIZE_NN_BILINEAR_ #define _XF_RESIZE_NN_BILINEAR_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize_up_area.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize_up_area.hpp index bbc49529fd..4f7278b72b 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize_up_area.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_resize_up_area.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_RESIZE_UP_AREA_ #define _XF_RESIZE_UP_AREA_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_rgb2hsv.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_rgb2hsv.hpp index 38d8cdcb43..e55802dd62 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_rgb2hsv.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_rgb2hsv.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_RGB2HSV_HPP_ #define _XF_RGB2HSV_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_scharr.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_scharr.hpp index d7a802a707..2a65b68423 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_scharr.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_scharr.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_SCHARR_HPP_ #define _XF_SCHARR_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_sgbm.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_sgbm.hpp index a16274ebae..144547f2df 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_sgbm.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_sgbm.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_SGBM_HPP_ #define _XF_SGBM_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_sobel.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_sobel.hpp index 97749eb4e6..6e0d5c097b 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_sobel.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_sobel.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_SOBEL_HPP_ #define _XF_SOBEL_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_stereo_pipeline.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_stereo_pipeline.hpp index 97bde0468d..a265570630 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_stereo_pipeline.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_stereo_pipeline.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_STEREO_PIPELINE_HPP_ #define _XF_STEREO_PIPELINE_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_stereolbm.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_stereolbm.hpp index f75282612f..da5d4a6e4b 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_stereolbm.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_stereolbm.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_STEREO_LBM_HPP_ #define _XF_STEREO_LBM_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_sum.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_sum.hpp index e6cd73aabb..a690108ebf 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_sum.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_sum.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_ACCUMULATE_WEIGHTED_HPP_ #define _XF_ACCUMULATE_WEIGHTED_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_svm.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_svm.hpp index ba3fc1fd40..fb083a2e96 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_svm.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_svm.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_SVM_H_ #define _XF_SVM_H_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_threshold.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_threshold.hpp index 21c7b72d80..3ce6459f84 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_threshold.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_threshold.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_THRESHOLD_HPP_ #define _XF_THRESHOLD_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_warp_transform.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_warp_transform.hpp index 013b670a36..0cefe5744d 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_warp_transform.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/imgproc/xf_warp_transform.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef __XF_WARP_TRANSFORM__ #define __XF_WARP_TRANSFORM__ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_dense_npyr_optical_flow.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_dense_npyr_optical_flow.hpp index 15e0d1b1ff..c7136da953 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_dense_npyr_optical_flow.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_dense_npyr_optical_flow.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef __XF_DENSE_NONPYR_OPTICAL_FLOW__ #define __XF_DENSE_NONPYR_OPTICAL_FLOW__ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_dense_npyr_optical_flow_types.h b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_dense_npyr_optical_flow_types.h index ba07aa92ac..156fcc4f96 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_dense_npyr_optical_flow_types.h +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_dense_npyr_optical_flow_types.h @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef __XF_DENSE_NONPYR_OPTICAL_FLOW_TYPES__ #define __XF_DENSE_NONPYR_OPTICAL_FLOW_TYPES__ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_kalmanfilter.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_kalmanfilter.hpp index 3602ee6b97..a75838fb1e 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_kalmanfilter.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_kalmanfilter.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef _XF_KALMANFILTER_HPP_ #define _XF_KALMANFILTER_HPP_ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow.hpp index 6d3a26fe9d..a7cac83327 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef __XF_PYR_DENSE_OPTICAL_FLOW__ #define __XF_PYR_DENSE_OPTICAL_FLOW__ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_config_types.h b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_config_types.h index 65f8a1aefc..f95af92229 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_config_types.h +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_config_types.h @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef __XF_PYR_DENSE_OPTICAL_FLOW_CONFIG_TYPES__ #define __XF_PYR_DENSE_OPTICAL_FLOW_CONFIG_TYPES__ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_find_gradients.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_find_gradients.hpp index 7cb4089250..f430f190eb 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_find_gradients.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_find_gradients.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef __XF_PYR_DENSE_OPTICAL_FLOW_FIND_GRADIENTS__ #define __XF_PYR_DENSE_OPTICAL_FLOW_FIND_GRADIENTS__ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_median_blur.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_median_blur.hpp index 9c81e9e623..44394f1303 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_median_blur.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_median_blur.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef __XF_PYR_DENSE_OPTICAL_FLOW_MEDIAN_BLUR__ #define __XF_PYR_DENSE_OPTICAL_FLOW_MEDIAN_BLUR__ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_oflow_process.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_oflow_process.hpp index 53fa5195e9..28637ebd0f 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_oflow_process.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_oflow_process.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef __XF_PYR_DENSE_OPTICAL_FLOW_OFLOW_PROCESS__ #define __XF_PYR_DENSE_OPTICAL_FLOW_OFLOW_PROCESS__ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_scale.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_scale.hpp index 7c95d588db..63bbc51e59 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_scale.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_scale.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef __XF_PYR_DENSE_OPTICAL_FLOW_SCALE__ #define __XF_PYR_DENSE_OPTICAL_FLOW_SCALE__ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_wrapper.hpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_wrapper.hpp index 5417fcdaeb..91a415d261 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_wrapper.hpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/include/video/xf_pyr_dense_optical_flow_wrapper.hpp @@ -1,18 +1,7 @@ /* - * Copyright 2019 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef __XF_PYR_DENSE_OPTICAL_FLOW_WRAPPER__ #define __XF_PYR_DENSE_OPTICAL_FLOW_WRAPPER__ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/package_rtc_gen.tcl b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/package_rtc_gen.tcl index 55762022c2..81dea8816b 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/package_rtc_gen.tcl +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/package_rtc_gen.tcl @@ -1,16 +1,5 @@ -# Copyright 2020 Xilinx Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 # package RTL kernel IP to XO file package_xo -xo_path ./rtc_gen.xo \ diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/SPSR.v b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/SPSR.v index 7fd3e7c0b0..12837af250 100755 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/SPSR.v +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/SPSR.v @@ -1,17 +1,6 @@ // -// Copyright 2020 Xilinx, Inc. -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // // Description : Generic Single-Port SRAM diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen.v b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen.v index 42a8224278..7c7933c509 100755 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen.v +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen.v @@ -1,17 +1,6 @@ // -// Copyright 2020 Xilinx, Inc. -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // // default_nettype of none prevents implicit wire declaration. diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_control_s_axi.v b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_control_s_axi.v index 34e603e648..9e7d6df1c7 100755 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_control_s_axi.v +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_control_s_axi.v @@ -1,17 +1,6 @@ // -// Copyright 2020 Xilinx, Inc. -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // `timescale 1ns/1ps diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_core.v b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_core.v index e862f39463..60e1aa6890 100755 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_core.v +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_core.v @@ -1,17 +1,6 @@ // -// Copyright 2020 Xilinx, Inc. -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // // Core control module of RTC generation @@ -641,4 +630,4 @@ localparam COL_CNT_WIDTH = $clog2(FONT_WIDTH/8); // f endmodule - \ No newline at end of file + diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_example_axi_read_master.sv b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_example_axi_read_master.sv index 511460046e..93e7c6da37 100755 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_example_axi_read_master.sv +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_example_axi_read_master.sv @@ -1,3 +1,8 @@ +// +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 +// + // This is a generated file. Use and modify at your own risk. //////////////////////////////////////////////////////////////////////////////// diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_example_counter.sv b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_example_counter.sv index 3eb63843b9..96ed2a2ee2 100755 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_example_counter.sv +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_example_counter.sv @@ -1,3 +1,8 @@ +// +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 +// + // This is a generated file. Use and modify at your own risk. //////////////////////////////////////////////////////////////////////////////// diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_tb.sv b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_tb.sv index 8c5a890386..307783b0d3 100755 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_tb.sv +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/src/rtc_gen_tb.sv @@ -1,17 +1,6 @@ // -// Copyright 2020 Xilinx, Inc. -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // // default_nettype of none prevents implicit wire declaration. diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/xgui/rtc_gen_v1_0.tcl b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/xgui/rtc_gen_v1_0.tcl index 10680322ec..658e8ab609 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/xgui/rtc_gen_v1_0.tcl +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/rtc_gen_ip/xgui/rtc_gen_v1_0.tcl @@ -1,3 +1,6 @@ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 + # Definitional proc to organize widgets for parameters. proc init_gui { IPINST } { ipgui::add_param $IPINST -name "Component_Name" diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/strm_dump.cpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/strm_dump.cpp index 11d73e0e4f..180faa9647 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/strm_dump.cpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/hw/strm_dump.cpp @@ -1,18 +1,7 @@ /* - * Copyright 2020 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #include #include diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/SPSR.v b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/SPSR.v index 7fd3e7c0b0..12837af250 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/SPSR.v +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/SPSR.v @@ -1,17 +1,6 @@ // -// Copyright 2020 Xilinx, Inc. -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // // Description : Generic Single-Port SRAM diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen.v b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen.v index 42a8224278..7c7933c509 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen.v +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen.v @@ -1,17 +1,6 @@ // -// Copyright 2020 Xilinx, Inc. -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // // default_nettype of none prevents implicit wire declaration. diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_control_s_axi.v b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_control_s_axi.v index 34e603e648..9e7d6df1c7 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_control_s_axi.v +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_control_s_axi.v @@ -1,17 +1,6 @@ // -// Copyright 2020 Xilinx, Inc. -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // `timescale 1ns/1ps diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_core.v b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_core.v index e862f39463..37ed5e6d09 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_core.v +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_core.v @@ -1,17 +1,6 @@ // -// Copyright 2020 Xilinx, Inc. -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // // Core control module of RTC generation diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_example_axi_read_master.sv b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_example_axi_read_master.sv index 511460046e..93e7c6da37 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_example_axi_read_master.sv +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_example_axi_read_master.sv @@ -1,3 +1,8 @@ +// +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 +// + // This is a generated file. Use and modify at your own risk. //////////////////////////////////////////////////////////////////////////////// diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_example_counter.sv b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_example_counter.sv index 3eb63843b9..96ed2a2ee2 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_example_counter.sv +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_example_counter.sv @@ -1,3 +1,8 @@ +// +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 +// + // This is a generated file. Use and modify at your own risk. //////////////////////////////////////////////////////////////////////////////// diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_tb.sv b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_tb.sv index 8c5a890386..307783b0d3 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_tb.sv +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/rtc_gen/src/rtc_gen_tb.sv @@ -1,17 +1,6 @@ // -// Copyright 2020 Xilinx, Inc. -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // // default_nettype of none prevents implicit wire declaration. diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/CMakeLists.txt b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/CMakeLists.txt index 10f2b3c8fb..c8de0dc14f 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/CMakeLists.txt +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/CMakeLists.txt @@ -1,16 +1,5 @@ -# Copyright 2020 Xilinx Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 project(rtl_stream_ref) cmake_minimum_required(VERSION 3.0) diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/build/setup_emu.sh b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/build/setup_emu.sh index 649d7ed28d..7d8ebed948 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/build/setup_emu.sh +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/build/setup_emu.sh @@ -1,18 +1,7 @@ #! /bin/bash -# Copyright 2020 Xilinx Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 print_usage () { echo "Usage: " diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/build/xrt.ini b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/build/xrt.ini index 88ac398182..3650fc018d 100755 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/build/xrt.ini +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/build/xrt.ini @@ -1,6 +1,5 @@ [Emulation] debug_mode=batch [Debug] -opencl_summary=true opencl_trace=true -data_transfer_trace=fine +device_trace=fine diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/src/rtc_alpha_tb.cpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/src/rtc_alpha_tb.cpp index e0625c61e3..e46c8eff6f 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/src/rtc_alpha_tb.cpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/src/rtc_alpha_tb.cpp @@ -1,18 +1,7 @@ /* - * Copyright 2022 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #include #include diff --git a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/src/rtc_gen_test.cpp b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/src/rtc_gen_test.cpp index d61ebb5d39..20743765ce 100644 --- a/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/src/rtc_gen_test.cpp +++ b/Hardware_Acceleration/Design_Tutorials/03-rtl_stream_kernel_integration/sw/src/rtc_gen_test.cpp @@ -1,18 +1,7 @@ /* - * Copyright 2022 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #include #include diff --git a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/CPU_POC/include/utils.h b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/CPU_POC/include/utils.h index 305223fc06..a6dd5cb7d4 100644 --- a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/CPU_POC/include/utils.h +++ b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/CPU_POC/include/utils.h @@ -1,17 +1,7 @@ /* - * Copyright 2021 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at: - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #include #include diff --git a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/CPU_POC/main_gold.cpp b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/CPU_POC/main_gold.cpp index 4c5d3d687f..5a295656c4 100644 --- a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/CPU_POC/main_gold.cpp +++ b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/CPU_POC/main_gold.cpp @@ -1,21 +1,7 @@ /* - * Copyright 2021 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at: - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - * - * Build with: - * g++ -O3 main_gold.cpp && ./a.out - * - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #include diff --git a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/README.md b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/README.md index 4299f4576e..196eca73c0 100644 --- a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/README.md +++ b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/README.md @@ -8,23 +8,13 @@ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ --> # The Traveling Salesperson Problem -***Version: Vitis 2022.2*** +***Version: Vitis 2023.1*** ## Introduction @@ -48,7 +38,7 @@ The execution could take over a minute for 13 cities depending on your CPU, and The labs in this tutorial use: * BASH Linux shell commands. -* 2022.2 Vitis core development kit release and the *xilinx_u200_gen3x16_xdma_2_202110_1* platform. If necessary, it can be easily ported to other versions and platforms. +* 2023.1 Vitis core development kit release and the *xilinx_u200_gen3x16_xdma_2_202110_1* platform. If necessary, it can be easily ported to other versions and platforms. >**IMPORTANT:** > @@ -60,7 +50,7 @@ The labs in this tutorial use: To configure the environment to run Vitis, run the following scripts which set up the environment to run in a specific command shell. ```bash -source /Vitis/2022.2/settings64.sh +source /Vitis/2023.1/settings64.sh source /opt/xilinx/xrt/setup.sh ``` @@ -97,17 +87,6 @@ Complete this lab in the following order: * [Export the design and evaluate performance in Vivado](./export.md) * [Improved performance with 4 parallel distance lookups](./code_opt.md) -Licensed under the Apache License, Version 2.0 (the "License"); -you may not use this file except in compliance with the License. -You may obtain a copy of the License at: http://www.apache.org/licenses/LICENSE-2.0 - -Unless required by applicable law or agreed to in writing, software -distributed under the License is distributed on an "AS IS" BASIS, -WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -See the License for the specific language governing permissions and -limitations under the License. - -

Copyright © 2020–2023 Advanced Micro Devices, Inc

Terms and Conditions

diff --git a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/build/Makefile b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/build/Makefile index 10e158190f..4157673733 100644 --- a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/build/Makefile +++ b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/build/Makefile @@ -1,21 +1,23 @@ -build: dataflow deadlock +build: opt1 opt2 -dataflow: dataflow/vitis_hls.log +opt1: + vitis_hls hls.tcl + @echo "********************" + @echo "Finished opt1" + @echo "********************" -dataflow/vitis_hls.log: - cd dataflow ; vitis_hls script.tcl - -deadlock: deadlock/vitis_hls.log - -deadlock/vitis_hls.log: - cd deadlock ; vitis_hls script.tcl +opt2: + vitis_hls hls_opt.tcl + @echo "********************" + @echo "Finished opt2" + @echo "********************" .PHONY: run run: build - @echo "Finished build and run" + @echo "Finished build and run" check: - @echo "Finished check" + @echo "Finished check" all: build @@ -23,5 +25,5 @@ all: build # ----------------------------------------------------------------------------- # clean: - rm -rf dataflow/*~ deadlock/*~ dataflow/*.log deadlock/*.log dataflow/proj deadlock/proj + rm -rf proj* # diff --git a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/build/hls.tcl b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/build/hls.tcl index 5f44d6cb4b..71a0eefa79 100644 --- a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/build/hls.tcl +++ b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/build/hls.tcl @@ -1,16 +1,6 @@ -# -# Copyright 2021 Xilinx, Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at: -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 + # # Project setup # diff --git a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/build/hls_opt.tcl b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/build/hls_opt.tcl index b90a80ca15..2d895d92ca 100644 --- a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/build/hls_opt.tcl +++ b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/build/hls_opt.tcl @@ -1,16 +1,6 @@ -# -# Copyright 2021 Xilinx, Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at: -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 + # # Project setup # diff --git a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/code/tsp.cpp b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/code/tsp.cpp index 15a72d49b7..87f2ba6d6d 100644 --- a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/code/tsp.cpp +++ b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/code/tsp.cpp @@ -1,17 +1,7 @@ /* - * Copyright 2021 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at: - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #include "tsp.h" diff --git a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/code/tsp.h b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/code/tsp.h index e0f6775703..a302349af5 100644 --- a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/code/tsp.h +++ b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/code/tsp.h @@ -1,18 +1,7 @@ /* - * Copyright 2021 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #ifndef TRAVELLING_SALESMAN #define TRAVELLING_SALESMAN diff --git a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/code/tsp_TB.cpp b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/code/tsp_TB.cpp index 8d48fe6767..bdbfe17121 100644 --- a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/code/tsp_TB.cpp +++ b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/code/tsp_TB.cpp @@ -1,18 +1,7 @@ /* - * Copyright 2021 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #include #include diff --git a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/code/tsp_opt.cpp b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/code/tsp_opt.cpp index 9de05240df..d50da278d3 100644 --- a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/code/tsp_opt.cpp +++ b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/code/tsp_opt.cpp @@ -1,17 +1,7 @@ /* - * Copyright 2021 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at: - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #include "tsp.h" diff --git a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/csynth.md b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/csynth.md index e890e853d3..1bd8d557c2 100644 --- a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/csynth.md +++ b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/csynth.md @@ -41,14 +41,13 @@ Before running synthesis open the `tsp.h` file and set the number to 13 (`N=13`) To run HLS synthesis from the GUI: Use the same shortcut as we used for C simulation earlier and select 'Run C Synthesis' or... -Via the main menu, `Project` -> `Run C Synthesis` - > `C Synthesis` +Via the main menu, `Solution` -> `Run C Synthesis` - > `Active Solution` Once synthesis has completed, the main window shows "Performance and Resource Estimates": (collapse the "General Information" and "Timing Estimates" sections by clicking on their title to make more room if necessary) synthesis This "Performance and Resource Estimates" section shows a table in which we see the main function `tsp` and the main loops and since we gave them a label in the source code it's easy to know which they are. -- The full latency for the `tsp` function is 479,001,957 (close to half a billion clock cycles) mainly contributed by `loop_compute` -- That latency of 479,001,600 is exactly factorial 12 (12!) which corresponds to the the scenario with 13 cities (N=13) given that the first city (the route starting point) is fixed, so the permutations are applied on the 12 remaining cities. + ## Next diff --git a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/images/resource1.png b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/images/resource1.png index 4b07593818..59fac6a06b 100644 Binary files a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/images/resource1.png and b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/images/resource1.png differ diff --git a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/images/synthesis.png b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/images/synthesis.png index cc23b281cb..73707ced97 100644 Binary files a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/images/synthesis.png and b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/images/synthesis.png differ diff --git a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/images/synthesis2.png b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/images/synthesis2.png index 1099e1f56e..e7e58dd84a 100644 Binary files a/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/images/synthesis2.png and b/Hardware_Acceleration/Design_Tutorials/04-traveling-salesperson/images/synthesis2.png differ diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/Makefile b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/Makefile index ddd44ee01c..bc4ac2832b 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/Makefile +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/Makefile @@ -1,16 +1,5 @@ -# Copyright 2021 Xilinx Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 ECHO=@echo diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/README.md b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/README.md index b95429274a..08c5b153c9 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/README.md +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/README.md @@ -11,7 +11,7 @@ # Bottom-up RTL Kernel Flow with Vitis for Acceleration -***Version: Vitis 2022.2*** +***Version: Vitis 2023.1*** RTL design is a traditional and important hardware accelerator development methodology for FPGA. RTL modules provide excellent flexibility and efficiency, while the design process is a time consuming and error-prone process. The Xilinx® Vitis™ unified software platform provides a mature and proven RTL kernel design methodology. With Vitis and the included Vivado® Design Suite, you can focus on your core accelerating module, instead of spending a lot of time on integration, host-FPGA communication, DMA, and other supporting tasks. @@ -46,8 +46,7 @@ The designs have been verified with the following software/hardware environment * Perl package installed for Verilog simulation (**required**) * OpenSSL library installed for hardware output validate (**required**) * GCC 7 -* Vitis: 2022.2 -* XRT: 2.14.354 +* Vitis: 2023.1 * Hardware and Platform for your Alveo card (you need both the deployment and development platforms): * Alveo U200: xilinx_u200_gen3x16_xdma_2_202110_1 * Alveo U250: xilinx_u250_gen3x16_xdma_4_1_202210_1 @@ -148,33 +147,6 @@ The directory structure and brief explanations of the design repository are as f └── README.md ``` -## Revision History - -
- 2022.2 - - - Add support for latest U280 platform - - xrt.ini updated to avoid warning - -
- -
- 2022.1 - - - Update Vitis target platform support - - remove Vivado clock routing XDC - -
- -
- 2020.2 - - - Initial release - -
- - -

Copyright © 2020–2023 Advanced Micro Devices, Inc

Terms and Conditions

diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/Makefile b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/Makefile index 183a545c28..f9478c67b5 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/Makefile +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/Makefile @@ -1,18 +1,5 @@ -# -# Copyright 2021 Xilinx, Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. -# +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 ECHO=@echo diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/Aes.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/Aes.v index a04d9993d3..f43b4cea59 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/Aes.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/Aes.v @@ -1,17 +1,7 @@ // -// Copyright 2021 Xilinx, Inc. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // // This block instantiates AesKeyExpansion, AesEncipher, AesDecipher, AesControl diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesControl.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesControl.v index 8440bbcb47..27878e4c76 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesControl.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesControl.v @@ -1,17 +1,7 @@ // -// Copyright 2021 Xilinx, Inc. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // // Assign correct control signals to respective sub-blocks diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesDecipher.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesDecipher.v index c712146d29..100e859d99 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesDecipher.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesDecipher.v @@ -1,17 +1,7 @@ // -// Copyright 2021 Xilinx, Inc. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // // This block finishes decipher opeartion of AES. diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesEncipher.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesEncipher.v index cb95c3a0cb..4849da617e 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesEncipher.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesEncipher.v @@ -1,17 +1,7 @@ // -// Copyright 2021 Xilinx, Inc. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // // This block finishes encipher opeartion of AES. diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesInvMixColumns.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesInvMixColumns.v index b67d034507..7a78aae074 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesInvMixColumns.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesInvMixColumns.v @@ -1,17 +1,7 @@ // -// Copyright 2021 Xilinx, Inc. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // // This block inverse implements MixColumns() Transformation. // This block operates on column data. diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesInvShiftRows.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesInvShiftRows.v index 564e7369b6..50c9919199 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesInvShiftRows.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesInvShiftRows.v @@ -1,17 +1,7 @@ // -// Copyright 2021 Xilinx, Inc. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // // This block implements inverse ShiftRows() Transformation. // This block operates on 4x4 (byte) square block data, and each input 32-bit diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesInvSubBytes.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesInvSubBytes.v index 3c7e3f89cd..9a4ba535c3 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesInvSubBytes.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesInvSubBytes.v @@ -1,17 +1,7 @@ // -// Copyright 2021 Xilinx, Inc. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // // This uses Inverse S-box functions to form InvSubBytes() transformation block. // This block operates on column data. diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesKeyExpansion.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesKeyExpansion.v index 599e623c25..cbe6c3437b 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesKeyExpansion.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesKeyExpansion.v @@ -1,17 +1,7 @@ // -// Copyright 2021 Xilinx, Inc. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // // This block implements key expansion block for AES. For the purpose of // flexibility, all together 64 word storage space are set, so 16 output key diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesMixColumns.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesMixColumns.v index c9d5f2b4f7..956e1ce682 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesMixColumns.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesMixColumns.v @@ -1,17 +1,7 @@ // -// Copyright 2021 Xilinx, Inc. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // // This block implements MixColumns() Transformation. // This block operates on column data. diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesShiftRows.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesShiftRows.v index 0d9b836ebb..a9b452d5d6 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesShiftRows.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesShiftRows.v @@ -1,17 +1,7 @@ // -// Copyright 2021 Xilinx, Inc. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // // This block implements ShiftRows() Transformation. // This block operates on 4x4 (byte) square block data, and each input 32-bit diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesSubBytes.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesSubBytes.v index c60ad17cb6..805de3f863 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesSubBytes.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/AesSubBytes.v @@ -1,17 +1,7 @@ // -// Copyright 2021 Xilinx, Inc. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // // This uses four S-box functions to form SubBytes() Transformation block. // This block operates on column data. diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/SPSR.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/SPSR.v index 2b08dea599..84545c3a1b 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/SPSR.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/rtl/SPSR.v @@ -1,17 +1,7 @@ // -// Copyright 2021 Xilinx, Inc. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // // Description : Generic Single-Port SRAM diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/runsim_aes_xsim.sh b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/runsim_aes_xsim.sh index a6f15bfe50..3170932638 100755 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/runsim_aes_xsim.sh +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/runsim_aes_xsim.sh @@ -1,18 +1,5 @@ -# -# Copyright 2021 Xilinx, Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. -# +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 # encrypt/decrypt 256 words (128-bit) data, namely 4KB export WORD_NUM=256 diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/tbench/tb_aes.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/tbench/tb_aes.v index 2d1f3a80a7..4eb8362df1 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/tbench/tb_aes.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/tbench/tb_aes.v @@ -1,17 +1,6 @@ // -// Copyright 2021 Xilinx, Inc. -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // `timescale 1ns/1ps diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/xsim.tcl b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/xsim.tcl index dfae85583f..f0baf6d1eb 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/xsim.tcl +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/aes/xsim.tcl @@ -1,17 +1,5 @@ -# -# Copyright 2021 Xilinx, Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 # log_wave -r * diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/common/plain_gen.pl b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/common/plain_gen.pl index 206fa22c67..e2ae2f5339 100755 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/common/plain_gen.pl +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/common/plain_gen.pl @@ -1,17 +1,7 @@ #!/usr/bin/perl -# Copyright 2021 Xilinx, Inc. # -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 # # Usage: ./plain_gen.pl NUM FILENAME diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/doc/krnl_cbc.md b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/doc/krnl_cbc.md index 0c73551ab1..c53bf5bc10 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/doc/krnl_cbc.md +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/doc/krnl_cbc.md @@ -234,7 +234,7 @@ Before starting, ensure that you source the setup scripts in XRT and Vitis insta ```shell source /opt/xilinx/xrt/setup.sh -source /tools/Xilinx/Vitis/2020.2/settings64.sh +source /tools/Xilinx/Vitis/2023.1/settings64.sh ``` ### Tutorial Steps @@ -373,9 +373,8 @@ The `~/krnl_cbc/xrt.ini` file is used to control the XRT emulation options, as s 3 user_pre_sim_script=/home/workspace/bottom_up_rtl_kernel/krnl_cbc/xsim.tcl 4 5 [Debug] - 6 profile=true - 7 timeline_trace=true - 8 data_transfer_trace=coarse + 6 timeline_trace=true + 7 device_trace=coarse ~~~ diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/Makefile b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/Makefile index 95753acdf1..7a8aeee161 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/Makefile +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/Makefile @@ -1,17 +1,5 @@ -# -# Copyright 2021 Xilinx, Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 # ECHO=@echo diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/gen_ip.tcl b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/gen_ip.tcl index bda935086b..65a9af8796 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/gen_ip.tcl +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/gen_ip.tcl @@ -1,17 +1,5 @@ -# -# Copyright 2021 Xilinx, Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 # # set the device part from command line argvs diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/hls/strm_dump.cpp b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/hls/strm_dump.cpp index e4025488d1..3f0fd6b326 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/hls/strm_dump.cpp +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/hls/strm_dump.cpp @@ -1,18 +1,7 @@ /* - * Copyright 2021 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #include #include diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/hls/strm_issue.cpp b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/hls/strm_issue.cpp index aa9aeec8fd..192f1a51aa 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/hls/strm_issue.cpp +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/hls/strm_issue.cpp @@ -1,18 +1,7 @@ /* - * Copyright 2021 Xilinx, Inc. - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - */ +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #include #include diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/host/host_krnl_aes_test.cpp b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/host/host_krnl_aes_test.cpp index 0e91fd84b1..b77f2ca3cf 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/host/host_krnl_aes_test.cpp +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/host/host_krnl_aes_test.cpp @@ -1,18 +1,7 @@ -// -// Copyright 2021 Xilinx, Inc. -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. -// +/* +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +*/ #include "experimental/xrt_kernel.h" #include "experimental/xrt_uuid.h" diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/pack_kernel.tcl b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/pack_kernel.tcl index 6aba51f827..693e074a1b 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/pack_kernel.tcl +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/pack_kernel.tcl @@ -1,17 +1,5 @@ -# -# Copyright 2021 Xilinx, Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 # diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/rtl/aes_wrapper.sv b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/rtl/aes_wrapper.sv index 892c35e6df..736bc1b8ae 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/rtl/aes_wrapper.sv +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/rtl/aes_wrapper.sv @@ -1,17 +1,7 @@ // -// Copyright 2021 Xilinx, Inc. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // `timescale 1ns/1ps diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/rtl/axis_interface.sv b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/rtl/axis_interface.sv index d87caeaf5a..633e26807c 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/rtl/axis_interface.sv +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/rtl/axis_interface.sv @@ -1,17 +1,7 @@ // -// Copyright 2021 Xilinx, Inc. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // // SystemVerilog AXI stream interface definition diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/rtl/krnl_aes.sv b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/rtl/krnl_aes.sv index e6b447bb7f..107264cfac 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/rtl/krnl_aes.sv +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/rtl/krnl_aes.sv @@ -1,17 +1,7 @@ // -// Copyright 2021 Xilinx, Inc. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // `timescale 1 ns / 1 ps diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/rtl/krnl_aes_axi_ctrl_slave.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/rtl/krnl_aes_axi_ctrl_slave.v index 23fed5150e..7091a094d3 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/rtl/krnl_aes_axi_ctrl_slave.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/rtl/krnl_aes_axi_ctrl_slave.v @@ -1,17 +1,7 @@ // -// Copyright 2021 Xilinx, Inc. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/runsim_krnl_aes_xsim.sh b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/runsim_krnl_aes_xsim.sh index 6c18fd841c..d3e07367e4 100755 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/runsim_krnl_aes_xsim.sh +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/runsim_krnl_aes_xsim.sh @@ -1,17 +1,6 @@ # -# Copyright 2021 Xilinx, Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 # # encrypt/decrypt 256 words (128-bit) data, namely 4KB diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/setup_emu.sh b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/setup_emu.sh index 75efce23a4..7d8ebed948 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/setup_emu.sh +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/setup_emu.sh @@ -1,18 +1,7 @@ #! /bin/bash -# Copyright 2021 Xilinx Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 print_usage () { echo "Usage: " diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/tbench/tb_krnl_aes.sv b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/tbench/tb_krnl_aes.sv index dcf9499202..f1f9368c1b 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/tbench/tb_krnl_aes.sv +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/tbench/tb_krnl_aes.sv @@ -1,17 +1,7 @@ // -// Copyright 2021 Xilinx, Inc. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // `timescale 1ns/1ps diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/tbench/tb_krnl_aes.vh b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/tbench/tb_krnl_aes.vh index 87062291c3..de56e4d92c 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/tbench/tb_krnl_aes.vh +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/tbench/tb_krnl_aes.vh @@ -1,17 +1,7 @@ // -// Copyright 2021 Xilinx, Inc. +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // // Tasks for tb_krnl_aes @@ -128,4 +118,4 @@ task automatic slv_random_backpressure_tready(input axis_vip_slv_slv_t axis_slv) end join_none -endtask \ No newline at end of file +endtask diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/xsim.tcl b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/xsim.tcl index dfae85583f..2df9af432f 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/xsim.tcl +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_aes/xsim.tcl @@ -1,17 +1,6 @@ # -# Copyright 2021 Xilinx, Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 # log_wave -r * diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/Makefile b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/Makefile index ebdee8ac32..033c14bcb1 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/Makefile +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/Makefile @@ -1,17 +1,6 @@ # -# Copyright 2021 Xilinx, Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 # ECHO=@echo diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/gen_ip.tcl b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/gen_ip.tcl index c7b9ff048e..c415e6ddc8 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/gen_ip.tcl +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/gen_ip.tcl @@ -1,17 +1,6 @@ # -# Copyright 2021 Xilinx, Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 # # set the device part from command line argvs diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/host/host_krnl_cbc_test.cpp b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/host/host_krnl_cbc_test.cpp index 4ed978eb97..06b6c7dba6 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/host/host_krnl_cbc_test.cpp +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/host/host_krnl_cbc_test.cpp @@ -1,17 +1,8 @@ // -// Copyright 2021 Xilinx, Inc. // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // #include "experimental/xrt_kernel.h" diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/pack_kernel.tcl b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/pack_kernel.tcl index d1c30b2cda..805d5fcd73 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/pack_kernel.tcl +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/pack_kernel.tcl @@ -1,19 +1,7 @@ # -# Copyright 2021 Xilinx, Inc. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 # -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. -# - ##################################### Step 1: create vivado project and add design sources diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/FifoCtlType0.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/FifoCtlType0.v index 43ff3bc216..74684be9c3 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/FifoCtlType0.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/FifoCtlType0.v @@ -1,17 +1,8 @@ // -// Copyright 2021 Xilinx, Inc. // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // // --=========================================================================-- diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/FifoType0.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/FifoType0.v index cc780a5ff4..b2ec76bce6 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/FifoType0.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/FifoType0.v @@ -1,17 +1,8 @@ // -// Copyright 2021 Xilinx, Inc. // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // module FifoType0 ( diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/TPSR.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/TPSR.v index a76a0924c0..a8f581abcb 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/TPSR.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/TPSR.v @@ -1,17 +1,8 @@ // -// Copyright 2021 Xilinx, Inc. // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // // Description : Generic 2-Port Register File diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/axi_master_counter.sv b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/axi_master_counter.sv index 68fb8ee2db..294f1602db 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/axi_master_counter.sv +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/axi_master_counter.sv @@ -1,17 +1,8 @@ // -// Copyright 2021 Xilinx, Inc. // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // module axi_master_counter #( diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/axi_read_master.sv b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/axi_read_master.sv index ae86a96898..befd4ba046 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/axi_read_master.sv +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/axi_read_master.sv @@ -1,17 +1,8 @@ // -// Copyright 2021 Xilinx, Inc. // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/axi_write_master.sv b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/axi_write_master.sv index ac3c7a70e9..0691fa1b9f 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/axi_write_master.sv +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/axi_write_master.sv @@ -1,17 +1,8 @@ // -// Copyright 2021 Xilinx, Inc. // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/cbc_engine.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/cbc_engine.v index b919fe5f84..a14b8b9bee 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/cbc_engine.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/cbc_engine.v @@ -1,17 +1,8 @@ // -// Copyright 2021 Xilinx, Inc. // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // `timescale 1ns/1ps diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/engine_control.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/engine_control.v index a74d7a9d1b..b2098a011e 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/engine_control.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/engine_control.v @@ -1,17 +1,8 @@ // -// Copyright 2021 Xilinx, Inc. // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // `timescale 1ns/1ps diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/krnl_cbc.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/krnl_cbc.v index ca4c106cd7..9f280c3d93 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/krnl_cbc.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/krnl_cbc.v @@ -1,17 +1,8 @@ // -// Copyright 2021 Xilinx, Inc. // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // `timescale 1ns/1ps diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/krnl_cbc_ctrl_slave.v b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/krnl_cbc_ctrl_slave.v index 4464393779..be337952cb 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/krnl_cbc_ctrl_slave.v +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/rtl/krnl_cbc_ctrl_slave.v @@ -1,17 +1,8 @@ // -// Copyright 2021 Xilinx, Inc. // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/runsim_krnl_cbc_xsim.sh b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/runsim_krnl_cbc_xsim.sh index b187efa68f..8e06516feb 100755 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/runsim_krnl_cbc_xsim.sh +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/runsim_krnl_cbc_xsim.sh @@ -1,19 +1,8 @@ #!/bin/bash # -# Copyright 2021 Xilinx, Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 # diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/setup_emu.sh b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/setup_emu.sh index 75efce23a4..6fea463c7b 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/setup_emu.sh +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/setup_emu.sh @@ -1,18 +1,9 @@ #! /bin/bash -# Copyright 2021 Xilinx Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 +# print_usage () { echo "Usage: " diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/tbench/tb_krnl_cbc.sv b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/tbench/tb_krnl_cbc.sv index 9fa63cf70b..9a6604f3cd 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/tbench/tb_krnl_cbc.sv +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/tbench/tb_krnl_cbc.sv @@ -1,17 +1,8 @@ // -// Copyright 2021 Xilinx, Inc. // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // `timescale 1ns/1ps diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/tbench/tb_krnl_cbc.vh b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/tbench/tb_krnl_cbc.vh index 9bf6fe9780..39c2d82345 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/tbench/tb_krnl_cbc.vh +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/tbench/tb_krnl_cbc.vh @@ -1,19 +1,11 @@ // -// Copyright 2021 Xilinx, Inc. // -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at +//# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +//# SPDX-License-Identifier: X11 // -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. // + // Tasks for tb_krnl_cbc ///////////////////////////////////////////////////////////////////////////////////////////////// diff --git a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/xsim.tcl b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/xsim.tcl index dfae85583f..2df9af432f 100644 --- a/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/xsim.tcl +++ b/Hardware_Acceleration/Design_Tutorials/05-bottom_up_rtl_kernel/krnl_cbc/xsim.tcl @@ -1,17 +1,6 @@ # -# Copyright 2021 Xilinx, Inc. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. +# Copyright (C) 2023, Advanced Micro Devices, Inc. All rights reserved. +# SPDX-License-Identifier: X11 # log_wave -r *