File tree Expand file tree Collapse file tree 8 files changed +52
-0
lines changed
hps-accel-gen1-nexus/constr
hps-accel-gen2-nexus/constr Expand file tree Collapse file tree 8 files changed +52
-0
lines changed Original file line number Diff line number Diff line change @@ -46,6 +46,10 @@ lifcl-17:
4646 family : nexus
4747 device : LIFCL-17
4848 package : 8UWG72C
49+ lifcl-17-WLCSP72 :
50+ family : nexus
51+ device : LIFCL-17
52+ package : WLCSP72
4953xczu7ev :
5054 family : xcup
5155 device : xczu7ev
Original file line number Diff line number Diff line change @@ -15,5 +15,6 @@ clock_aliases:
1515vendors :
1616 lattice-nexus :
1717 - lifcl-17
18+ - lifcl-17-WLCSP72
1819required_toolchains :
1920 - nextpnr-nexus
Original file line number Diff line number Diff line change @@ -15,6 +15,7 @@ clock_aliases:
1515vendors :
1616 lattice-nexus :
1717 - lifcl-17
18+ - lifcl-17-WLCSP72
1819required_toolchains :
1920 - nextpnr-nexus
2021skip_toolchains :
Original file line number Diff line number Diff line change @@ -17,6 +17,7 @@ vendors:
1717 lattice-nexus :
1818 - lifcl-40
1919 - lifcl-17
20+ - lifcl-17-WLCSP72
2021 quicklogic :
2122 - quickfeather
2223required_toolchains :
Original file line number Diff line number Diff line change @@ -28,10 +28,12 @@ lattice-nexus:
2828 boards :
2929 - lifcl-40
3030 - lifcl-17
31+ - lifcl-17-WLCSP72
3132 toolchains :
3233 - nextpnr-nexus
3334 - synpro-radiant
3435 - lse-radiant
36+ - nextpnr-fpga-interchange
3537quicklogic :
3638 boards :
3739 - quickfeather
Original file line number Diff line number Diff line change 1+ set_property PACKAGE_PIN A3 [get_ports spiflash4x_cs_n]
2+ set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_cs_n]
3+ set_property PACKAGE_PIN B4 [get_ports spiflash4x_clk]
4+ set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_clk]
5+ set_property PACKAGE_PIN B5 [get_ports spiflash4x_dq[0]]
6+ set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_dq[0]]
7+ set_property PACKAGE_PIN C4 [get_ports spiflash4x_dq[1]]
8+ set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_dq[1]]
9+ set_property PACKAGE_PIN B3 [get_ports spiflash4x_dq[2]]
10+ set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_dq[2]]
11+ set_property PACKAGE_PIN B2 [get_ports spiflash4x_dq[3]]
12+ set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_dq[3]]
13+ set_property PACKAGE_PIN G3 [get_ports user_led0]
14+ set_property IOSTANDARD LVCMOS18H [get_ports user_led0]
Original file line number Diff line number Diff line change 1+ set_property PACKAGE_PIN A3 [get_ports spiflash4x_cs_n]
2+ set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_cs_n]
3+ set_property SLEW FAST [get_ports spiflash4x_cs_n]
4+ set_property PACKAGE_PIN B4 [get_ports spiflash4x_clk]
5+ set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_clk]
6+ set_property SLEW FAST [get_ports spiflash4x_clk]
7+ set_property PACKAGE_PIN B5 [get_ports spiflash4x_dq[0]]
8+ set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_dq[0]]
9+ set_property SLEW FAST [get_ports spiflash4x_dq[0]]
10+ set_property PACKAGE_PIN C4 [get_ports spiflash4x_dq[1]]
11+ set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_dq[1]]
12+ set_property SLEW FAST [get_ports spiflash4x_dq[1]]
13+ set_property PACKAGE_PIN B3 [get_ports spiflash4x_dq[2]]
14+ set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_dq[2]]
15+ set_property SLEW FAST [get_ports spiflash4x_dq[2]]
16+ set_property PACKAGE_PIN B2 [get_ports spiflash4x_dq[3]]
17+ set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_dq[3]]
18+ set_property SLEW FAST [get_ports spiflash4x_dq[3]]
19+ set_property PACKAGE_PIN E2 [get_ports serial_rx]
20+ set_property IOSTANDARD LVCMOS18 [get_ports serial_rx]
21+ set_property PACKAGE_PIN G1 [get_ports serial_tx]
22+ set_property IOSTANDARD LVCMOS18H [get_ports serial_tx]
Original file line number Diff line number Diff line change 1+ set_property LOC B4 [get_ports clk]
2+ set_property LOC A3 [get_ports out]
3+
4+ set_property IOSTANDARD LVCMOS33 [get_ports clk]
5+ set_property IOSTANDARD LVCMOS33 [get_ports out]
6+
7+ create_clock -name clk -period 13.333 [get_ports clk]
You can’t perform that action at this time.
0 commit comments