Skip to content

Commit ba35dbe

Browse files
Add tests for Crosslink NX with FPGA Interchange
Signed-off-by: Robert Szczepanski <[email protected]>
1 parent ff01ca1 commit ba35dbe

File tree

8 files changed

+52
-0
lines changed

8 files changed

+52
-0
lines changed

assets/boards.yaml

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -46,6 +46,10 @@ lifcl-17:
4646
family: nexus
4747
device: LIFCL-17
4848
package: 8UWG72C
49+
lifcl-17-WLCSP72:
50+
family: nexus
51+
device: LIFCL-17
52+
package: WLCSP72
4953
xczu7ev:
5054
family: xcup
5155
device: xczu7ev

assets/project/hps-accel-gen1-nexus.yaml

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -15,5 +15,6 @@ clock_aliases:
1515
vendors:
1616
lattice-nexus:
1717
- lifcl-17
18+
- lifcl-17-WLCSP72
1819
required_toolchains:
1920
- nextpnr-nexus

assets/project/hps-accel-gen2-nexus.yaml

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -15,6 +15,7 @@ clock_aliases:
1515
vendors:
1616
lattice-nexus:
1717
- lifcl-17
18+
- lifcl-17-WLCSP72
1819
required_toolchains:
1920
- nextpnr-nexus
2021
skip_toolchains:

assets/project/oneblink.yaml

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -17,6 +17,7 @@ vendors:
1717
lattice-nexus:
1818
- lifcl-40
1919
- lifcl-17
20+
- lifcl-17-WLCSP72
2021
quicklogic:
2122
- quickfeather
2223
required_toolchains:

assets/vendors.yaml

Lines changed: 2 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -28,10 +28,12 @@ lattice-nexus:
2828
boards:
2929
- lifcl-40
3030
- lifcl-17
31+
- lifcl-17-WLCSP72
3132
toolchains:
3233
- nextpnr-nexus
3334
- synpro-radiant
3435
- lse-radiant
36+
- nextpnr-fpga-interchange
3537
quicklogic:
3638
boards:
3739
- quickfeather
Lines changed: 14 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,14 @@
1+
set_property PACKAGE_PIN A3 [get_ports spiflash4x_cs_n]
2+
set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_cs_n]
3+
set_property PACKAGE_PIN B4 [get_ports spiflash4x_clk]
4+
set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_clk]
5+
set_property PACKAGE_PIN B5 [get_ports spiflash4x_dq[0]]
6+
set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_dq[0]]
7+
set_property PACKAGE_PIN C4 [get_ports spiflash4x_dq[1]]
8+
set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_dq[1]]
9+
set_property PACKAGE_PIN B3 [get_ports spiflash4x_dq[2]]
10+
set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_dq[2]]
11+
set_property PACKAGE_PIN B2 [get_ports spiflash4x_dq[3]]
12+
set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_dq[3]]
13+
set_property PACKAGE_PIN G3 [get_ports user_led0]
14+
set_property IOSTANDARD LVCMOS18H [get_ports user_led0]
Lines changed: 22 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,22 @@
1+
set_property PACKAGE_PIN A3 [get_ports spiflash4x_cs_n]
2+
set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_cs_n]
3+
set_property SLEW FAST [get_ports spiflash4x_cs_n]
4+
set_property PACKAGE_PIN B4 [get_ports spiflash4x_clk]
5+
set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_clk]
6+
set_property SLEW FAST [get_ports spiflash4x_clk]
7+
set_property PACKAGE_PIN B5 [get_ports spiflash4x_dq[0]]
8+
set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_dq[0]]
9+
set_property SLEW FAST [get_ports spiflash4x_dq[0]]
10+
set_property PACKAGE_PIN C4 [get_ports spiflash4x_dq[1]]
11+
set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_dq[1]]
12+
set_property SLEW FAST [get_ports spiflash4x_dq[1]]
13+
set_property PACKAGE_PIN B3 [get_ports spiflash4x_dq[2]]
14+
set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_dq[2]]
15+
set_property SLEW FAST [get_ports spiflash4x_dq[2]]
16+
set_property PACKAGE_PIN B2 [get_ports spiflash4x_dq[3]]
17+
set_property IOSTANDARD LVCMOS18 [get_ports spiflash4x_dq[3]]
18+
set_property SLEW FAST [get_ports spiflash4x_dq[3]]
19+
set_property PACKAGE_PIN E2 [get_ports serial_rx]
20+
set_property IOSTANDARD LVCMOS18 [get_ports serial_rx]
21+
set_property PACKAGE_PIN G1 [get_ports serial_tx]
22+
set_property IOSTANDARD LVCMOS18H [get_ports serial_tx]
Lines changed: 7 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,7 @@
1+
set_property LOC B4 [get_ports clk]
2+
set_property LOC A3 [get_ports out]
3+
4+
set_property IOSTANDARD LVCMOS33 [get_ports clk]
5+
set_property IOSTANDARD LVCMOS33 [get_ports out]
6+
7+
create_clock -name clk -period 13.333 [get_ports clk]

0 commit comments

Comments
 (0)