We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
There was an error while loading. Please reload this page.
1 parent 66a241c commit 07d9e70Copy full SHA for 07d9e70
regression/ebmc/ranking-function/signed1.desc
@@ -0,0 +1,7 @@
1
+CORE
2
+signed1.sv
3
+--ranking-function "(-$signed({1'b0,counter}))"
4
+^\[main\.property\.p0\] always s_eventually main.counter == 0: PROVED$
5
+^EXIT=0$
6
+^SIGNAL=0$
7
+--
regression/ebmc/ranking-function/signed1.sv
@@ -0,0 +1,18 @@
+// count down from 10 to 0
+
+module main(input clk);
+ reg [7:0] counter;
+ initial counter = 0;
8
9
+ always @(posedge clk)
10
+ if(counter != 100)
11
+ counter++;
12
+ else
13
+ counter = 0;
14
15
+ // expected to pass
16
+ p0: assert property (s_eventually counter == 0);
17
18
+endmodule
0 commit comments