We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
2 parents fc8e6a9 + f3e8ac5 commit 2e28a03Copy full SHA for 2e28a03
regression/verilog/SVA/empty_sequence1.desc
@@ -0,0 +1,9 @@
1
+KNOWNBUG
2
+empty_sequence1.sv
3
+--bound 5
4
+^EXIT=10$
5
+^SIGNAL=0$
6
+--
7
+^warning: ignoring
8
9
+Repetition with zero is not implemented.
regression/verilog/SVA/empty_sequence1.sv
@@ -0,0 +1,14 @@
+module main(input clk);
+
+ reg [7:0] x = 0;
+ always @(posedge clk)
+ x<=x+1;
+ // The empty sequence does not match
+ initial p0: assert property (1[*0]);
10
11
+ // But can be concatenated
12
+ initial p1: assert property (1[*0] ##1 x == 0);
13
14
+endmodule
0 commit comments