We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
There was an error while loading. Please reload this page.
2 parents 27d34a6 + 6d7e393 commit 3fc2299Copy full SHA for 3fc2299
regression/verilog/modules/ports5.desc
@@ -0,0 +1,9 @@
1
+KNOWNBUG
2
+ports5.sv
3
+--bound 0
4
+^EXIT=0$
5
+^SIGNAL=0$
6
+--
7
+^warning: ignoring
8
9
+The grammar currently doesn't allow datatypes as port types.
regression/verilog/modules/ports5.sv
@@ -0,0 +1,6 @@
+// Ports may use SystemVerilog datatypes.
+module main(input logic [31:0] data);
+
+ always assert property1: $bits(data) == 32;
+endmodule
0 commit comments